Project

General

Profile

Activity

From 05/02/2017 to 05/31/2017

05/31/2017

10:02 PM Bug #25: F10 Hard Reset Ignore inserted cartridge (Core v7)
yes i think so..
there are different banking cart schemes, some use data to change bank and other use address to c...
ndary
09:28 PM Bug #25: F10 Hard Reset Ignore inserted cartridge (Core v7)
I can't tell the init state, since its an external cartridge. The logic could set up the registers written to by D500... foft
09:08 PM Bug #25: F10 Hard Reset Ignore inserted cartridge (Core v7)
it should also clear the D5XX to its imitate state so the bank switching cart will be set to its default state ndary
08:43 PM Bug #25: F10 Hard Reset Ignore inserted cartridge (Core v7)
I mean the 'hard reset' just clears memory then drops the reset line. foft
08:42 PM Bug #25: F10 Hard Reset Ignore inserted cartridge (Core v7)
Bug hunt does bank switching
Ah, I see what you mean. The hard reset does not power off the system. So if the cart...
foft
08:37 PM Bug #25: F10 Hard Reset Ignore inserted cartridge (Core v7)
do you have an XEG cart with more than 16?
try one of the carts that do Bank Switching
Nir
ndary
08:31 PM Bug #25: F10 Hard Reset Ignore inserted cartridge (Core v7)
Not sure what you mean here. If I put in a cartridge and press F10 then the computer reboots and the cartridge runs. ... foft
06:55 PM Bug #25: F10 Hard Reset Ignore inserted cartridge (Core v7)
Will have a look after PBI support - or as part of it. I'm replacing the cartridge reading logic as part of that. foft
04:58 PM Bug #25 (New): F10 Hard Reset Ignore inserted cartridge (Core v7)
When there is a cartridge inserted to the cartridge slot and you power on the ExlairXL board the cartridge boots
but...
ndary
08:32 PM Feature #2: PBI support
Fixed some clear cycle delay bugs in antic and simulated. This fixed NMIEN and WSYNC tests in acid, however there are... foft
08:23 PM Bug #27: USB D-PAD Joystick support on CORE7
Confirmed. I saw video settings had changed after disconnection too. foft
07:50 PM Bug #27: USB D-PAD Joystick support on CORE7
yes VGA is still connected, it also happen with HDMI screen ndary
06:50 PM Bug #27: USB D-PAD Joystick support on CORE7
Is the VGA cable still connected?! Will dig out mine but I've not knowingly changed this. I wonder if I accidentally ... foft
06:41 PM Bug #27 (Closed): USB D-PAD Joystick support on CORE7
When connecting a D-PAD Joystick to one of the USB ports the screen goes black!
the computer still works and even bo...
ndary
08:17 PM Feature #24: A board with Atari SOUL!!!! (ROM in block ram support)
foft wrote:
> I'll start by a default one in block ram, (optionally overriden by sd card). Then later we can add sup...
sadosp
08:10 PM Feature #24: A board with Atari SOUL!!!! (ROM in block ram support)
I'll start by a default one in block ram, (optionally overriden by sd card). Then later we can add support for the fl... foft
07:57 PM Feature #24: A board with Atari SOUL!!!! (ROM in block ram support)
sadosp wrote:
> What I would like to see from Mark sometime in the future, is to integrate the Atari OS in the flash...
sadosp
02:56 PM Feature #24 (Closed): A board with Atari SOUL!!!! (ROM in block ram support)
What I would like to see from Mark sometime in the future, is to integrate the Atari OS in the flash ram.
Dream for ...
sadosp
07:01 PM Feature #28: XEX boot loader replacement
Improve XEX boot loader with a better version, since the current one causes a lot of software to fail. foft
07:01 PM Feature #28 (Closed): XEX boot loader replacement
Replace XEX boot loader with a better one foft
06:57 PM Feature #13 (New): 32x speed cpu without wait states
foft
06:55 PM Feature #26: PS/2 keyboard
As you mention this, I tried it again:
- if the keyboard has already been connected when I turn eclaire on, it doesn...
jozsef
06:52 PM Feature #26: PS/2 keyboard
Yeah I expect a non-compliant adaptor. Though I doubt the USB HID support is rock solid investigating these individua... foft
06:36 PM Feature #26: PS/2 keyboard
I Confirm that ExlaireXL does support PS2/USB adapter to connect PS/2 Keyboard & Mouse with CORE7
i just tested it h...
ndary
05:08 PM Feature #26 (Closed): PS/2 keyboard
I've a PS2/2 keyboard with a PS2->USB adapter, which works fine on my PC and other HW emulators which has USB port, b... jozsef
06:54 PM Bug #4: Galaxian flickers on scrolling - when using real cartridge
I see lots of acid fails after adding the PBI support. This effectively adds the same delay as when using the cartrid... foft
06:50 PM Support #23 (Closed): No image
foft
01:00 PM Support #23: No image
Thanks! It works now!
Start reading the http://www.64kib.com/redmine/attachments/download/7/Atari%20800%20FPGA%20Ma...
jozsef
12:49 PM Support #23: No image
copy this to the ROOT of your SD CARD
http://www.64kib.com/redmine/attachments/download/44/SDCARD.zip
Nir
ndary
12:45 PM Support #23: No image
My bad: the card was NTSC formatted.
The old firmware reads "settings" too. Now I see the monitor recognizes the re...
jozsef
12:26 PM Support #23: No image
The current firmware doesn't use this file. Need to order a cable to update the firmware. jozsef
12:11 PM Support #23: No image
Panos posted an sd card image in the forum. I expect that is set up for 50Hz vga. foft
12:05 PM Support #23: No image
http://www.64kib.com/autobuild/private/settings_examples/
See the readme in here.
Please flash v8 too
foft
11:51 AM Support #23 (Closed): No image
- the vga connector produces 15KHz, of which the monitor doesn't support
- through the HDMI connector I see "No SIGN...
jozsef
06:48 PM Bug #22: Action and Bounty Bob Cartridges cannot be loaded
That would explain it, I only see the one 8k oss cartridge supported in the cart logic. It might be quite easy to add... foft
12:01 AM Bug #22: Action and Bounty Bob Cartridges cannot be loaded
Some more info's for the OSS cartridges on below link.
Seem that OSS M091 is the latest type cartridge from OSS whic...
sadosp
06:48 PM Bug #20: Bugs in DAS OMEN Demo
I'm wondering about splitting the firmware up and running on more smaller cpus (such as 6503). e.g. one instance for ... foft
06:45 PM Bug #20: Bugs in DAS OMEN Demo
Using this one:
uint8_t boot_xex_loader[179] = {
0x72,0x02,0x5f,0x07,0xf8,0x07,0xa9,0x00,0x8d,0x04,0x03,0x8d,0x44,...
foft
10:15 AM Bug #20: Bugs in DAS OMEN Demo
foft wrote:
> We can try it. Do you know c? There is atari_drive_emulator.c in the firmware which has a copy of the ...
xxl
09:59 AM Bug #20: Bugs in DAS OMEN Demo
We could add another 6502 for the firmware to replace the zpu. I'd like that but don't have time to spend on that.
...
foft
08:57 AM Bug #20: Bugs in DAS OMEN Demo
6502 assembly only here :)
XXL posted that he can do a loader and PICODOS source is on Github
https://github.co...
ndary
08:05 AM Bug #20: Bugs in DAS OMEN Demo
We can try it. Do you know c? There is atari_drive_emulator.c in the firmware which has a copy of the xex loader. Its... foft
12:48 PM SDCARD.zip
ndary
08:55 AM Feature #21: Mirax Force game crash
PicoDos source is on Github
https://github.com/Masq666/PicoDOS/blob/master/picodos.asm
Nir
ndary
08:44 AM Feature #21: Mirax Force game crash
foft wrote:
> ok, another loader issue.
>
> Lets add a new task for changing the xex loader to picodos or another...
xxl
08:07 AM Feature #21: Mirax Force game crash
ok, another loader issue.
Lets add a new task for changing the xex loader to picodos or another.
foft

05/30/2017

11:50 PM Bug #22: Action and Bounty Bob Cartridges cannot be loaded
And if someone need to play BBSB on eclaireXL, below is attached a working ATR file.
sadosp
11:39 PM Bug #22: Action and Bounty Bob Cartridges cannot be loaded
the problem with this specific Action bank switching cart.. just tested another model of Action cart that works well
...
ndary
09:55 PM Bug #22: Action and Bounty Bob Cartridges cannot be loaded
There is a file cart_logic.vhd which has the cartridge emulation logic from the turbo freezer.
Of course we can ad...
foft
07:59 PM Bug #22: Action and Bounty Bob Cartridges cannot be loaded
foft wrote:
> Bounty Bob is expected, its a one-off cartridge type.
Mark I have the logic as how to load the b...
sadosp
07:58 PM Bug #22: Action and Bounty Bob Cartridges cannot be loaded
foft wrote:
> Bounty Bob is expected, its a one-off cartridge type.
>
> What cartridge type is action?
Mark I...
sadosp
07:31 PM Bug #22: Action and Bounty Bob Cartridges cannot be loaded
the attached ACTION cart is
ID #3 | 800/XL/XE | 16 | OSS two chip 16 KB cartridge (034M)
ndary
06:49 PM Bug #22: Action and Bounty Bob Cartridges cannot be loaded
Bounty Bob is expected, its a one-off cartridge type.
What cartridge type is action?
foft
03:50 PM Bug #22 (New): Action and Bounty Bob Cartridges cannot be loaded
The following attached cartridges image cannot be loaded on ExclairXL
ndary
11:36 PM Bug #20: Bugs in DAS OMEN Demo
i just confirmed that the problem is with the XEX loader of EclairXL, can you use the PICODOS loader from HIASOFT? fr... ndary
06:51 PM Bug #20: Bugs in DAS OMEN Demo
Uh-oh, good spot. Did you check it with aspeqt too? I find that the .xex support (sdrive port) can be a bit iffy at t... foft
03:39 PM Bug #20 (Closed): Bugs in DAS OMEN Demo
tested the DAS OMEN Demo
1. the speech (DAS OMEN) at the 3rd part does not play
2. Music stops after a while and ...
ndary
11:34 PM Feature #21: Mirax Force game crash
ndary wrote:
> tested it ASPEQT and confirm that its a problem of the loader..
> i used PICODOS from HIASOFT and mo...
ndary
11:34 PM Feature #21: Mirax Force game crash
tested it ASPEQT and confirm that its a problem of the loader..
i used PICODOS from HIASOFT and most games/demos tha...
ndary
06:32 PM Feature #21: Mirax Force game crash
Can you test it with aspeqt too? foft
03:40 PM Feature #21 (Closed): Mirax Force game crash
tested the MIRAX Force game
the Speech at the beginning of the game works. but the game will not start
can this...
ndary
10:06 PM Feature #2: PBI support
Some info from Hias on the turbo freezer:
"Reset on the freezer runs through a synchronizer, clocked by phi2. IIRC 2...
foft
10:04 PM Feature #2: PBI support
Some progress in antic timings which are broken by this. I found I am using a delay shift register to wait 32 cycles ... foft
07:02 PM Feature #1 (Closed): Svideo sync line support
Being handled in issue 14 foft
07:00 PM Feature #8 (Closed): Implement 4 channel ADC
Closing since the core support is in place. Noise reduction and sampler connection may be raised as future feature re... foft
06:58 PM Feature #12 (New): Set up redmine backups!
foft
06:46 PM Bug #19 (Closed): PAL VGA Synch issues (screen is not centered
Closing since we already have a task to implement the pll and edid/ddc support foft
06:45 PM Bug #19: PAL VGA Synch issues (screen is not centered
50Hz isn't standard on VGA monitors at all. So its not going to work well unless you find one that supports modes lik... foft
02:53 PM Bug #19 (Closed): PAL VGA Synch issues (screen is not centered

I am using a LCD TV SCREEN with VGA input, when the ExclairXL boot into Basic (ready prompt) the screen is not cent...
ndary
02:28 PM Bug #18 (Closed): Power on Switch
in a REAL ATARI XL/XE computer you switch the computer on by flipping the POWER SWITCH UP, but in the EclaireXL board... ndary

05/28/2017

10:15 PM Feature #2: PBI support
foft wrote:
> Interesting the acid test now fails with this core, which explains the blips I got when running real c...
sadosp
10:05 PM Feature #2: PBI support
Interesting the acid test now fails with this core, which explains the blips I got when running real cartridges befor... foft
09:59 PM Feature #2: PBI support
PBI lives!!
Still some debugging to do but so far...
Cartridges are working again, which is a good sign (these ar...
foft

05/27/2017

10:49 PM Feature #2: PBI support
Still no luck with signaltap, so got the real logic analyzer on the GPIOs to debug. Squashed one sync bug but still n... foft
10:28 AM Feature #2: PBI support
I started to bisect to find the problem - and found the core stops working when connecting RAS and CAS! Which are lar... foft
11:20 AM Arriving!
The first board has arrived, the rest should be there shortly.
Please post in the forum when you board arrives and...
foft
10:46 AM Bug #17: NTSC crashing on some builds
Interesting when I build on AWS I get completely screwed up builds with the registered sdram input. Seemingly every t... foft

05/26/2017

08:53 PM Feature #2: PBI support
The core blows up with signaltap2 enabled! Looks great on sim, but I can't see what is going wrong on the actual hard... foft

05/25/2017

11:29 PM Feature #2: PBI support
foft wrote:
> Made several more fixes and the core no longer crashes with PBI enabled. However it all crashes when I...
sadosp
11:10 PM Feature #2: PBI support
Made several more fixes and the core no longer crashes with PBI enabled. However it all crashes when I plug in a cart... foft

05/24/2017

11:49 PM Document: Notes and a guide for spacers - v3 prototype
sadosp
11:48 PM Spacers Place.pdf
sadosp
11:48 PM Note for v3.0 board.odt
sadosp
11:42 PM Document: First owner notice - v1 Prototype
sadosp
11:42 PM First owner notice.odt
sadosp
10:09 PM Feature #2: PBI support
Looking good on simulation now but does not run on the board. I think the issue is when pbi takes over the bus when a... foft

05/23/2017

09:43 PM Feature #2: PBI support
Integrated into the description and synthesising:-)
For now I took the strategy of delaying the cycle until we kno...
foft

05/22/2017

09:55 PM Feature #2: PBI support
PBI looking good on simulation (bit itself). Based timings on the Freddie data sheet.
Made a start on wiring it up t...
foft

05/21/2017

02:37 PM Feature #2: PBI support
Started on a PBI component that will correctly communicate with the bus. Then this can be checked/simulated - and as ... foft

05/20/2017

10:39 PM Bug #17: NTSC crashing on some builds
I've still had bad builds since registering the sdram input directly.
I tried to specify an externally switchable ...
foft
10:36 PM Feature #8: Implement 4 channel ADC
So I guess we should have some more passive components here. On the other hand I'm kind of attached to SIO noise down... foft
10:35 PM Feature #8: Implement 4 channel ADC
Pretty sure this is down to floating inputs. Since there is no driver and low capacitance the input picks up the prev... foft

05/18/2017

11:01 PM Feature #8: Implement 4 channel ADC
Sigh, it definitely works but if I read say channel 1 - I still receive input from the other channels foft
09:00 PM Feature #8: Implement 4 channel ADC
Debugged and now working.
PBI and SIO audio will be mixed. For now I'm not feeding MIC_L and MIC_R anywhere - thes...
foft
06:56 AM Feature #8: Implement 4 channel ADC
Now I have the board its clear this needs debugging. foft
06:58 AM Feature #1: Svideo sync line support
Received the board and gave this a go. svideo and composite look nice and bright now. However saturation is too low, ... foft

05/16/2017

09:24 PM Feature #13: 32x speed cpu without wait states
I enabled 32x mode in the core and sorted out single cycle writes from the block ram for now. Hardly any difference i... foft
09:22 PM Bug #17: NTSC crashing on some builds
Ijor recommended I try registering the input directly from SDRAM. I've done this and see if it helps.
He is also k...
foft
08:30 PM It is time!
Panos will be in touch about shipping... foft

05/12/2017

09:52 PM Bug #17 (Closed): NTSC crashing on some builds
Investigate why... On the v1 core this occurred when running the PLL at very high fVCO. However we already fixed that... foft
07:48 PM Bug #16 (Closed): Audio bug report for Altirra
One for the coders, please can you check if these bugs are present?
From post on atariage...
http://atariage.com/...
foft

05/11/2017

09:42 PM Feature #15: Implement programmable PLL
Attaching PLL chip datasheet foft
09:41 PM Feature #15 (New): Implement programmable PLL
See if the programmable PLL works. This will allow us to support different/custom VGA modes, once we have EDID/DDC wo... foft
08:38 PM Feature #13: 32x speed cpu without wait states
So thinking about options...
Double the main clock speed and pipeline a bit
or
Output next address from antic a...
foft
08:34 PM Feature #7: Implement I2C vga/hdmi support
Used this controller for the ADC on v1: https://eewiki.net/pages/viewpage.action?pageId=10125324
So I guess I just n...
foft
08:30 PM Feature #7: Implement I2C vga/hdmi support
DDC looks a little funkier foft
08:28 PM Feature #7: Implement I2C vga/hdmi support
EDID is apparently one of these I can access at address 0x50 foft
08:26 PM Feature #7: Implement I2C vga/hdmi support
Attached mux/level converter chip data sheet foft
08:27 PM Feature #8: Implement 4 channel ADC
Attached ADC data sheet foft
08:25 PM No sign of FT232 chips
Panos is chasing up - and if not good news he will order from somewhere quicker!
In the meantime I've added ADC su...
foft

05/08/2017

10:08 PM Feature #8 (In Progress): Implement 4 channel ADC
Written initial support based on data sheet and simulated. Not yet built into test core or tried on real hardware.
...
foft

05/04/2017

09:25 PM Feature #13: 32x speed cpu without wait states
Nope, this is not going to be so simple... Massive timing violations. foft

05/03/2017

08:27 PM Missing parts
Panos is waiting for the last few remaining parts to arrive. Most notably the FT232 USB chip. We're expecting they wi... foft

05/02/2017

10:15 PM Feature #14: Svideo core for first prototype
Debugged in isim to get lots of overflow/underflow/blanking/burst incorrect cases!
Added colour bars and asserts for...
foft
06:40 AM Feature #14: Svideo core for first prototype
Scaled luma with 64 space for chroma - when in composite mode only. No point throwing away svideo brightness.
Adde...
foft
06:38 AM Sub PCB - now populated!
!sub-board-pop.jpg! foft
 

Also available in: Atom