Revision 1422
Added by markw 10 months ago
lvds_tx.vhd | ||
---|---|---|
-- megafunction wizard: %Soft LVDS Intel FPGA IP v20.1%
|
||
-- megafunction wizard: %Soft LVDS Intel FPGA IP v23.1%
|
||
-- GENERATION: XML
|
||
-- lvds_tx.vhd
|
||
|
||
-- Generated using ACDS version 20.1 720
|
||
-- Generated using ACDS version 23.1 993
|
||
|
||
library IEEE;
|
||
use IEEE.std_logic_1164.all;
|
||
... | ... | |
end entity lvds_tx;
|
||
|
||
architecture rtl of lvds_tx is
|
||
component altera_soft_lvds_tx_twD5CSXW is
|
||
component altera_soft_lvds_tx_uCmMXfGB is
|
||
port (
|
||
tx_in : in std_logic_vector(0 downto 0) := (others => 'X'); -- tx_in
|
||
tx_out : out std_logic_vector(0 downto 0) -- tx_out
|
||
);
|
||
end component altera_soft_lvds_tx_twD5CSXW;
|
||
end component altera_soft_lvds_tx_uCmMXfGB;
|
||
|
||
begin
|
||
|
||
lvds_tx_inst : component altera_soft_lvds_tx_twD5CSXW
|
||
lvds_tx_inst : component altera_soft_lvds_tx_uCmMXfGB
|
||
port map (
|
||
tx_in => tx_in, -- tx_in.tx_in
|
||
tx_out => tx_out -- tx_out.tx_out
|
||
... | ... | |
-- ************************************************************
|
||
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
|
||
-- ************************************************************
|
||
-- Copyright (C) 1991-2021 Altera Corporation
|
||
-- Copyright (C) 1991-2024 Altera Corporation
|
||
-- Any megafunction design, and related net list (encrypted or decrypted),
|
||
-- support information, device programming or simulation file, and any other
|
||
-- associated documentation or information provided by Altera or a partner
|
||
... | ... | |
-- their respective licensors. No other licenses, including any licenses
|
||
-- needed under any third party's intellectual property, are provided herein.
|
||
---->
|
||
-- Retrieval info: <instance entity-name="altera_soft_lvds" version="20.1" >
|
||
-- Retrieval info: <instance entity-name="altera_soft_lvds" version="23.1" >
|
||
-- Retrieval info: <generic name="DEVICE_FAMILY" value="MAX 10" />
|
||
-- Retrieval info: <generic name="DEVICE_TYPE" value="Single Supply" />
|
||
-- Retrieval info: <generic name="FUNCTIONAL_MODE" value="TX" />
|
||
... | ... | |
-- Retrieval info: <generic name="VOD_SETTING" value="0" />
|
||
-- Retrieval info: </instance>
|
||
-- IPFS_FILES : lvds_tx.vho
|
||
-- RELATED_FILES: lvds_tx.vhd, altera_soft_lvds_tx_twD5CSXW.v
|
||
-- RELATED_FILES: lvds_tx.vhd, altera_soft_lvds_tx_uCmMXfGB.v
|
Also available in: Unified diff
Updated ip to quartus v23 version