Project

General

Profile

« Previous | Next » 

Revision 1422

Added by markw 10 months ago

Updated ip to quartus v23 version

View differences:

lvds_rx.vhd
-- megafunction wizard: %Soft LVDS Intel FPGA IP v20.1%
-- megafunction wizard: %Soft LVDS Intel FPGA IP v23.1%
-- GENERATION: XML
-- lvds_rx.vhd
-- Generated using ACDS version 20.1 720
-- Generated using ACDS version 23.1 993
library IEEE;
use IEEE.std_logic_1164.all;
......
end entity lvds_rx;
architecture rtl of lvds_rx is
component altera_soft_lvds_rx_twD2Tqf3 is
component altera_soft_lvds_rx_uCmNW05P is
port (
data : in std_logic_vector(0 downto 0) := (others => 'X'); -- data
clock : in std_logic := 'X'; -- clock
q : out std_logic_vector(0 downto 0) -- q
);
end component altera_soft_lvds_rx_twD2Tqf3;
end component altera_soft_lvds_rx_uCmNW05P;
begin
lvds_rx_inst : component altera_soft_lvds_rx_twD2Tqf3
lvds_rx_inst : component altera_soft_lvds_rx_uCmNW05P
port map (
data => data, -- data.data
clock => clock, -- clock.clock
......
-- ************************************************************
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
-- ************************************************************
-- Copyright (C) 1991-2021 Altera Corporation
-- Copyright (C) 1991-2024 Altera Corporation
-- Any megafunction design, and related net list (encrypted or decrypted),
-- support information, device programming or simulation file, and any other
-- associated documentation or information provided by Altera or a partner
......
-- their respective licensors. No other licenses, including any licenses
-- needed under any third party's intellectual property, are provided herein.
---->
-- Retrieval info: <instance entity-name="altera_soft_lvds" version="20.1" >
-- Retrieval info: <instance entity-name="altera_soft_lvds" version="23.1" >
-- Retrieval info: <generic name="DEVICE_FAMILY" value="MAX 10" />
-- Retrieval info: <generic name="DEVICE_TYPE" value="Single Supply" />
-- Retrieval info: <generic name="FUNCTIONAL_MODE" value="RX" />
......
-- Retrieval info: <generic name="VOD_SETTING" value="0" />
-- Retrieval info: </instance>
-- IPFS_FILES : lvds_rx.vho
-- RELATED_FILES: lvds_rx.vhd, altera_soft_lvds_rx_twD2Tqf3.v
-- RELATED_FILES: lvds_rx.vhd, altera_soft_lvds_rx_uCmNW05P.v

Also available in: Unified diff