Project

General

Profile

« Previous | Next » 

Revision 977

Added by markw over 5 years ago

Few missing parts from scaler support for v2

View differences:

eclaireXL_ITX/atari800core_eclaireXLv2.qsf
#set_global_assignment -name QIP_FILE serial_loader/synthesis/serial_loader.qip
set_global_assignment -name QIP_FILE zpu_rom.qip
set_global_assignment -name QIP_FILE pll_hdmi.qip
set_global_assignment -name QIP_FILE pll_hdmi2.qip
set_global_assignment -name QIP_FILE pll_acore.qip
set_global_assignment -name QIP_FILE pll_acore_reconfig.qip
set_global_assignment -name QIP_FILE pll_usb.qip
......
set_global_assignment -name QIP_FILE fifo_transmit.qip
set_global_assignment -name QIP_FILE sfl.qip
set_global_assignment -name QSYS_FILE clkctrl.qsys
set_global_assignment -name QSYS_FILE clkctrl2.qsys
set_global_assignment -name VHDL_FILE adc084.vhdl
set_global_assignment -name VHDL_FILE gpio_debug.vhd
......
set_instance_assignment -name FAST_INPUT_REGISTER ON -to DRAM_DQ[14]
set_instance_assignment -name FAST_INPUT_REGISTER ON -to DRAM_DQ[15]
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to HD_TX2P
set_instance_assignment -name FAST_INPUT_REGISTER ON -to HD_TX2P
set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to HD_TX2P
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to HD_TX1P
set_instance_assignment -name FAST_INPUT_REGISTER ON -to HD_TX1P
set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to HD_TX1P
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to HD_TX0P
set_instance_assignment -name FAST_INPUT_REGISTER ON -to HD_TX0P
set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to HD_TX0P
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to HD_CLKP
set_instance_assignment -name FAST_INPUT_REGISTER ON -to HD_CLKP
set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to HD_CLKP
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to HD_TX2N
set_instance_assignment -name FAST_INPUT_REGISTER ON -to HD_TX2N
set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to HD_TX2N
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to HD_TX1N
set_instance_assignment -name FAST_INPUT_REGISTER ON -to HD_TX1N
set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to HD_TX1N
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to HD_TX0N
set_instance_assignment -name FAST_INPUT_REGISTER ON -to HD_TX0N
set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to HD_TX0N
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to HD_CLKN
set_instance_assignment -name FAST_INPUT_REGISTER ON -to HD_CLKN
set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to HD_CLKN
#set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to SD_DAT2
#set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to SD_DAT1
eclaireXL_ITX/build.sh
(
"A2EBAv3rom" =>
{
"internal_ram" => 65536,
"internal_ram" => 32768,
"internal_rom" => 1,
"fpga" => "5CEBA2F23C8",
"postfix" => "v3",
"convert" => "v3a",
"sid" => 0
"sid" => 1
},
"A4EBAv3rom" =>
{
......
},
"A2EBArom" =>
{
"internal_ram" => 65536,
"internal_ram" => 32768,
"internal_rom" => 1,
"fpga" => "5CEBA2F23C8",
"postfix" => "v1",
......
`mkdir $dir/common/zpu`;
`mkdir $dir/svideo`;
`mkdir $dir/hdmi`;
`mkdir $dir/scaler`;
mkdir "./$dir/common/components/usbhostslave";
`cp ../common/components/usbhostslave/trunk/RTL/*/*.v ./$dir/common/components/usbhostslave`;
`cp ../common/a8core/* ./$dir/common/a8core`;
......
`cp ../common/zpu/* ./$dir/common/zpu`;
`cp ./svideo/* ./$dir/svideo`;
`cp ./hdmi/* ./$dir/hdmi`;
`cp ./scaler/* ./$dir/scaler`;
`cp -r ./sfl/synthesis/* ./$dir/`;
`cp zpu_rom$postfix.mif build_$variant/zpu_rom.mif`;
......
my $fpga = $variants{$variant}->{"fpga"};
`../makeqsf ../atari800core_eclaireXL$postfix.qsf ./hdmi ./svideo ./common/a8core ./common/a8core/sid8580 ./common/components ./common/zpu ./common/components/usbhostslave`;
`../makeqsf ../atari800core_eclaireXL$postfix.qsf ./hdmi ./scaler ./svideo ./common/a8core ./common/a8core/sid8580 ./common/components ./common/zpu ./common/components/usbhostslave`;
`echo set_global_assignment -name DEVICE $fpga >> atari800core_eclaireXL$postfix.qsf`;
eclaireXL_ITX/hdmi/hdmi.vhd
I_AUDIO_PCM_L : in std_logic_vector(15 downto 0);
I_AUDIO_PCM_R : in std_logic_vector(15 downto 0);
-- VIDEO mode
I_VIDEO_ID_CODE : in std_logic_vector(7 downto 0);
-- ENCODED output
O_R : out std_logic_vector(9 downto 0);
O_G : out std_logic_vector(9 downto 0);
......
i_blank : in std_logic;
i_audioL : in std_logic_vector(15 downto 0);
i_audioR : in std_logic_vector(15 downto 0);
i_video_id_code : in std_logic_vector(7 downto 0);
o_d0 : out std_logic_vector(3 downto 0);
o_d1 : out std_logic_vector(3 downto 0);
......
i_vSync => I_VSYNC,
i_audioL => I_AUDIO_PCM_L,
i_audioR => I_AUDIO_PCM_R,
I_VIDEO_ID_CODE => I_VIDEO_ID_CODE,
o_d0 => dataPacket0,
o_d1 => dataPacket1,
o_d2 => dataPacket2,
eclaireXL_ITX/hdmi/hdmidataencoder.v
input i_blank,
input [15:0] i_audioL,
input [15:0] i_audioR,
input [7:0] i_video_id_code,
output [3:0] o_d0,
output [3:0] o_d1,
output [3:0] o_d2,
......
// Byte3: 00 = 0(SC1:SC0=0 No scaling)
// Byte4: 00 = 0(VIC6:VIC0=0 custom resolution)
// Byte5: 00 = 0(PR5:PR0=0 No repeation)
subpacket[0]<=56'h00000000191046;
subpacket[0]<={16'h0000,i_video_id_code,24'h001910,8'h46 - i_video_id_code};
subpacket[1]<=56'h00000000000000;
subpacket[2]<=56'h00000000000000;
subpacket[3]<=56'h00000000000000;
eclaireXL_ITX/scaler/scale_params.m
customscalepoly = 1024;
customscaleraw = 400;
res = [
1,1440 ,1440,312,312+313-1; %pal 576i
0,1440 ,720,312,625-1; %pal 576p
0,1440 ,1280,312,750; %720p pal
1,1440 ,1920,312.5,562+563; %pal 1080i
1,1440 ,1440,262,262+263-1; %ntsc 480i
0,1440 ,720,262,525-1; %ntsc 480p
0,1440 ,1280,262,750; %720p ntsc
1,1440 ,1920,262.5,562+563; %ntsc 1080i
];
resname = {'pal576i','pal576p','pal720p','pal1080i','ntsc480i','ntsc480p','ntsc720p','ntsc1080i'};
for i=1:size(res,1)
interlace = res(i,1);
x_pre = res(i,2);
x = res(i,3);
y_pre = res(i,4);
y = res(i,5);
if x_pre>x
x_pre = x_pre/2;
xaddrskip = 2;
else
xaddrskip = 1;
end
HSR = x_pre/x;
VSR = y_pre/y;
%area
xdelta = customscaleraw*HSR;
ydelta = customscaleraw*VSR;
TA = customscaleraw*HSR*customscaleraw*VSR;
adj = 65536/TA;
TA = TA*adj;
xdelta = round(xdelta);
ydelta2 = round(ydelta*adj*2);
ydelta = round(ydelta*adj);
customscalex = round(customscaleraw);
customscaley = round(customscaleraw*adj);
%fprintf('%10s x:%4d y:%4d xthreshold:%d xdelta:%d ythreshold:%d ydelta:%d(%d) TA:%d\n',...
%resname{i},x,y,customscalex,xdelta,customscaley,ydelta,ydelta2,TA');
fprintf('%d,%d,%d,%d,%d,%d, /*%s*/\n',customscalex,xdelta,customscaley,ydelta,ydelta*(interlace+1),xaddrskip,resname{i});
%poly
xdelta = customscalepoly*HSR;
ydelta = customscalepoly*VSR;
xdelta = round(xdelta);
ydelta2 = round(ydelta*2);
ydelta = round(ydelta);
customscalex = round(customscalepoly);
customscaley = round(customscalepoly);
fprintf('%d,%d,%d,\n',xdelta,ydelta*(interlace+1),xaddrskip);
%fprintf('%10s x:%4d y:%4d xdelta:%6d ydelta:%6d(%6d)\n',...
% resname{i},x,y,xdelta,ydelta,ydelta2);
end
eclaireXL_ITX/zpu_romv2.mif
009e : 00000000;
009f : 00000000;
00a0 : 71fc0608;
00a1 : 0b0b829e;
00a2 : c0738306;
00a1 : 0b0b82a3;
00a2 : e0738306;
00a3 : 10100508;
00a4 : 060b0b0b;
00a5 : 88a20400;
......
00cd : 00000000;
00ce : 00000000;
00cf : 00000000;
00d0 : 810b82b1;
00d0 : 810b82b7;
00d1 : a00c5104;
00d2 : 00000000;
00d3 : 00000000;
......
0116 : 83c08008;
0117 : 83c08408;
0118 : 83c08808;
0119 : 757581ac;
011a : 892d5050;
0119 : 757581ae;
011a : d52d5050;
011b : 83c08008;
011c : 5683c088;
011d : 0c83c084;
......
0120 : c0800883;
0121 : c0840883;
0122 : c0880875;
0123 : 7581abc8;
0123 : 7581ae94;
0124 : 2d505083;
0125 : c0800856;
0126 : 83c0880c;
......
0129 : 51040000;
012a : 800489aa;
012b : 0489aa0b;
012c : 80eda804;
012c : 80ee9504;
012d : fd3d0d75;
012e : 705254ae;
012f : c83f83c0;
......
0221 : 0d7b7d5a;
0222 : 5a82d052;
0223 : 83c2d408;
0224 : 51819a94;
0224 : 51819ce0;
0225 : 3f83c080;
0226 : 0857f9aa;
0227 : 3f795283;
......
022d : 81068283;
022e : 3883c0b8;
022f : 080b0b82;
0230 : a9905370;
0230 : aee45370;
0231 : 5256a6a1;
0232 : 3f0b0b82;
0233 : a9905280;
0233 : aee45280;
0234 : c01651a6;
0235 : 943f75bc;
0236 : 170c7382;
......
0344 : ffab3976;
0345 : 982b5574;
0346 : 80258738;
0347 : 829dd017;
0347 : 82a2f017;
0348 : 3357ff9f;
0349 : 17557499;
034a : 268938e0;
......
0408 : 842a903d;
0409 : 33547171;
040a : 31535656;
040b : 818af93f;
040b : 818dc53f;
040c : 83c08008;
040d : 82057088;
040e : 1c0c83c0;
......
04a8 : 5376802e;
04a9 : b7387452;
04aa : ff165181;
04ab : 85fa3f83;
04ab : 88c63f83;
04ac : c08008ff;
04ad : 18765470;
04ae : 53585381;
04af : 85ea3f83;
04af : 88b63f83;
04b0 : c0800873;
04b1 : 26963874;
04b2 : 30707806;
......
0585 : 902e0981;
0586 : 0680cd38;
0587 : 02ab0533;
0588 : 82b1a80b;
0589 : 82b1a833;
0588 : 82b7a80b;
0589 : 82b7a833;
058a : 5758568c;
058b : 3974762e;
058c : 8a388417;
......
05cf : 800880d0;
05d0 : 387451e5;
05d1 : c33f83c0;
05d2 : 800882a9;
05d3 : 9c5383c0;
05d2 : 800882ae;
05d3 : f05383c0;
05d4 : 80085253;
05d5 : fea33f83;
05d6 : c08008b0;
05d7 : 3882a9a0;
05d7 : 3882aef4;
05d8 : 527251fe;
05d9 : 943f83c0;
05da : 8008a138;
05db : 82a9a452;
05db : 82aef852;
05dc : 7251fe85;
05dd : 3f83c080;
05de : 08923882;
05df : a9a85272;
05df : aefc5272;
05e0 : 51fdf63f;
05e1 : 83c08008;
05e2 : 802e8338;
......
05f7 : 2e85cf38;
05f8 : 86537a52;
05f9 : 83c09451;
05fa : a9913f7e;
05fa : a9eb3f7e;
05fb : 51daae3f;
05fc : 83c08008;
05fd : 33973d56;
05fe : 54737b2e;
05ff : 09810696;
0600 : 3882b5c8;
0600 : 3882bbc8;
0601 : 52745187;
0602 : e03f9a39;
0603 : 7e527851;
......
0626 : 55577380;
0627 : 24ed3874;
0628 : 602e8638;
0629 : a9cc3f74;
0629 : aaa63f74;
062a : 4078ff1b;
062b : 70585e58;
062c : 807a2595;
......
0632 : 38800b83;
0633 : c7dc0c81;
0634 : 800b83c8;
0635 : b80c82a9;
0636 : ac518be4;
0635 : b80c82af;
0636 : 80518be4;
0637 : 3f800b83;
0638 : c8b80c83;
0639 : c0945282;
063a : a9b4518b;
063a : af88518b;
063b : d33fa80b;
063c : 83c7dc0c;
063d : 76802e80;
......
0645 : 56e29b3f;
0646 : 83c08008;
0647 : 802e8838;
0648 : 82a9c051;
0648 : 82af9451;
0649 : 8b9a3f76;
064a : 51e1dd3f;
064b : 83c08008;
064c : 5282adb8;
064c : 5282b38c;
064d : 518b893f;
064e : 7651e1e5;
064f : 3f83c080;
......
065b : 9b3f83c0;
065c : 80087852;
065d : 55e1bb3f;
065e : 82a9c854;
065e : 82af9c54;
065f : 83c08008;
0660 : 853882ac;
0661 : bc547453;
0662 : 735282a9;
0663 : 94518ab0;
0660 : 853882b2;
0661 : 90547453;
0662 : 735282ae;
0663 : e8518ab0;
0664 : 3f805482;
0665 : ac98518a;
0665 : b1ec518a;
0666 : a73f8114;
0667 : 5473a82e;
0668 : 098106ef;
0669 : 38868da0;
066a : 51a5ca3f;
066a : 51a6a43f;
066b : 8052913d;
066c : 70525780;
066d : fc893f83;
066d : fed53f83;
066e : 52765180;
066f : fc813f64;
066f : fecd3f64;
0670 : 5473ff2e;
0671 : 09810697;
0672 : 38ff1b70;
......
067a : 81069138;
067b : 86538052;
067c : 83c09451;
067d : a5853f80;
067d : a5df3f80;
067e : 5b81a539;
067f : 7383c094;
0680 : 1c34811b;
......
0691 : 2efbc538;
0692 : 7851dfbc;
0693 : 3f83c080;
0694 : 085282a9;
0695 : 905181ea;
0694 : 085282ae;
0695 : e45181ea;
0696 : 3f83c080;
0697 : 08a3387d;
0698 : 5183a23f;
......
06a7 : 5afbc539;
06a8 : 63802efb;
06a9 : 88388052;
06aa : 765180fa;
06ab : 923fa53d;
06aa : 765180fc;
06ab : de3fa53d;
06ac : 0d04ff3d;
06ad : 0d028f05;
06ae : 33701081;
......
06b5 : 2a708106;
06b6 : 51515170;
06b7 : 802e8738;
06b8 : 80cef53f;
06b8 : 80d1c13f;
06b9 : e9397190;
06ba : 88800c83;
06bb : 3d0d0480;
......
06be : 2a708106;
06bf : 51515170;
06c0 : 802e8738;
06c1 : 80ced13f;
06c1 : 80d19d3f;
06c2 : e9399088;
06c3 : 880883c0;
06c4 : 800c823d;
......
06c9 : 54548073;
06ca : 25943873;
06cb : 70810555;
06cc : 335282a9;
06cd : cc518788;
06cc : 335282af;
06cd : a0518788;
06ce : 3fff1353;
06cf : e939853d;
06d0 : 0d04fd3d;
......
070d : 80598155;
070e : 8539747a;
070f : 29557452;
0710 : 755180f2;
0711 : e33f83c0;
0710 : 755180f5;
0711 : af3f83c0;
0712 : 80087a27;
0713 : ed387480;
0714 : 2e80e038;
0715 : 74527551;
0716 : 80f2cd3f;
0716 : 80f5993f;
0717 : 83c08008;
0718 : 75537652;
0719 : 5480f2d0;
0719 : 5480f59c;
071a : 3f83c080;
071b : 087a5375;
071c : 525680f2;
071d : b33f83c0;
071c : 525680f4;
071d : ff3f83c0;
071e : 80087930;
071f : 707b079f;
0720 : 2a707780;
......
0885 : 547281aa;
0886 : 2e8d3881;
0887 : c73980e4;
0888 : 5194d23f;
0888 : 5195ac3f;
0889 : ff145473;
088a : 802e81b8;
088b : 38820a52;
......
08a8 : 38735580;
08a9 : c15680ce;
08aa : 90548a39;
08ab : 80e45193;
08ac : c43fff14;
08ab : 80e45194;
08ac : 9e3fff14;
08ad : 5473802e;
08ae : a9388052;
08af : 7551fca0;
......
08f9 : a7885472;
08fa : 852e8c38;
08fb : 9e3980e4;
08fc : 5191823f;
08fc : 5191dc3f;
08fd : ff1454f9;
08fe : bf3f83c0;
08ff : 800881ff;
......
090c : 863d0d04;
090d : fd3d0d75;
090e : 78547753;
090f : 70525490;
0910 : ba3f7383;
090f : 70525491;
0910 : 943f7383;
0911 : c0800c85;
0912 : 3d0d04eb;
0913 : 3d0d6769;
......
0916 : 80448043;
0917 : 80428070;
0918 : 71405b5c;
0919 : 928c3f80;
0919 : 92e63f80;
091a : 0b83c7dc;
091b : 0c800b83;
091c : c8b80c60;
......
093a : 5973802e;
093b : 903883c7;
093c : e0335473;
093d : 883882a9;
093e : d451f3c4;
093d : 883882af;
093e : a851f3c4;
093f : 3f7583c7;
0940 : dc0c7717;
0941 : 8c163370;
......
0944 : 57738738;
0945 : 901555fe;
0946 : ea39868d;
0947 : a0518ed5;
0947 : a0518faf;
0948 : 3f805292;
0949 : 3d70525b;
094a : 80e5943f;
094a : 80e7e03f;
094b : 83527a51;
094c : 80e58c3f;
094c : 80e7d83f;
094d : 64547382;
094e : a4386555;
094f : 80752583;
......
0958 : 2c720652;
0959 : 555a767a;
095a : 248438ff;
095b : 175ab9e8;
095b : 175abcb4;
095c : 3f80608c;
095d : 055757fc;
095e : 16085574;
......
09bc : 8b3fa053;
09bd : 805283c7;
09be : d40883a0;
09bf : 8005518a;
09c0 : fa3fa053;
09bf : 8005518b;
09c0 : d43fa053;
09c1 : 805283c7;
09c2 : d40883a4;
09c3 : 8005518a;
09c4 : ea3f9053;
09c3 : 8005518b;
09c4 : c43f9053;
09c5 : 805283c7;
09c6 : d40883a8;
09c7 : 8005518a;
09c8 : da3fff76;
09c7 : 8005518b;
09c8 : b43fff76;
09c9 : 3483a080;
09ca : 54805383;
09cb : c7d00852;
......
09d0 : 83c7d008;
09d1 : 5283c7d4;
09d2 : 0851feb0;
09d3 : 3f8ca33f;
09d3 : 3f8cfd3f;
09d4 : a2548053;
09d5 : 83c7d408;
09d6 : 8c800552;
09d7 : 82b29c51;
09d7 : 82b89c51;
09d8 : fe9a3f86;
09d9 : 0b87a883;
09da : 34800b87;
......
0abe : 0c525283;
0abf : 3d0d0480;
0ac0 : 3d0d9080;
0ac1 : d00883ff;
0ac2 : ff0683c0;
0ac3 : 800c823d;
0ac4 : 0d04ff3d;
0ac5 : 0d9080d0;
0ac6 : 700870fc;
0ac7 : 80800676;
0ac8 : 07720c52;
0ac9 : 52833d0d;
0aca : 04803d0d;
0acb : 9080d008;
0acc : 70902c81;
0acd : ff0683c0;
0ace : 800c5182;
0acf : 3d0d04ff;
0ad0 : 3d0d9080;
0ad1 : d0700870;
0ad2 : f883ffff;
0ad3 : 0676902b;
0ac1 : bc087087;
0ac2 : 2c870683;
0ac3 : c0800c51;
0ac4 : 823d0d04;
0ac5 : ff3d0d90;
0ac6 : 80bc7008;
0ac7 : 70f8ff06;
0ac8 : 76872b07;
0ac9 : 720c5252;
0aca : 833d0d04;
0acb : 803d0d90;
0acc : 80bc0870;
0acd : 8a2c8706;
0ace : 83c0800c;
0acf : 51823d0d;
0ad0 : 04ff3d0d;
0ad1 : 9080bc70;
0ad2 : 0870c7ff;
0ad3 : 06768a2b;
0ad4 : 07720c52;
0ad5 : 52833d0d;
0ad6 : 04803d0d;
0ad7 : 9080d008;
0ad8 : 70982c81;
0ad9 : 0683c080;
0ada : 0c51823d;
0adb : 0d04ff3d;
0adc : 0d9080d0;
0add : 700870fe;
0ade : ff0a0676;
0adf : 982b0772;
0ae0 : 0c525283;
0ae1 : 3d0d0480;
0ae2 : 3d0d9080;
0ae3 : d0087099;
0ae4 : 2c810683;
0ae5 : c0800c51;
0ae6 : 823d0d04;
0ae7 : ff3d0d90;
0ae8 : 80d07008;
0ae9 : 70ffbf0a;
0aea : 0676992b;
0aeb : 07720c52;
0aec : 52833d0d;
0aed : 04803d0d;
0aee : 9080d008;
0aef : 709a2c81;
0af0 : 0683c080;
0af1 : 0c51823d;
0af2 : 0d04ff3d;
0af3 : 0d9080d0;
0af4 : 700870df;
0af5 : 0a06769a;
0af6 : 2b07720c;
0af7 : 5252833d;
0af8 : 0d04803d;
0af9 : 0d908080;
0afa : 0870882c;
0afb : 810683c0;
0afc : 800c5182;
0afd : 3d0d0480;
0afe : 3d0d9080;
0aff : 80087089;
0b00 : 2c810683;
0b01 : c0800c51;
0b02 : 823d0d04;
0b03 : 803d0d90;
0b04 : 80800870;
0b05 : 8a2c8106;
0b06 : 83c0800c;
0b07 : 51823d0d;
0b08 : 04803d0d;
0b09 : 90808008;
0b0a : 708b2c81;
0b0b : 0683c080;
0b0c : 0c51823d;
0b0d : 0d04803d;
0b0e : 0d908080;
0b0f : 08708c2c;
0b10 : bf0683c0;
0b11 : 800c5182;
0b12 : 3d0d0480;
0b13 : 3d0d9080;
0b14 : 80087092;
0b15 : 2c810683;
0b16 : c0800c51;
0b17 : 823d0d04;
0b18 : 803d0d90;
0b19 : 80800870;
0b1a : 932c8106;
0b1b : 83c0800c;
0b1c : 51823d0d;
0b1d : 04719080;
0b1e : a00c04fe;
0b1f : 3d0d7575;
0b20 : ff195353;
0b21 : 5370ff2e;
0b22 : 8d387272;
0b23 : 70810554;
0b24 : 34ff1151;
0b25 : f039843d;
0b26 : 0d04fe3d;
0b27 : 0d7575ff;
0b28 : 19535353;
0b29 : 70ff2e8d;
0b2a : 38727270;
0b2b : 8405540c;
0b2c : ff1151f0;
0b2d : 39843d0d;
0b2e : 04fe3d0d;
0b2f : 88805380;
0b30 : 5288800a;
0b31 : 51ffb43f;
0b32 : 82805380;
0b33 : 5282800a;
0b34 : 51c83f80;
0b35 : 0b87aa80;
0b36 : 34843d0d;
0b37 : 04803d0d;
0b38 : 8151f7c5;
0b39 : 3f72802e;
0b3a : 90388051;
0b3b : f9c63fc9;
0b3c : 3f83c7d8;
0b3d : 3351f9bc;
0b3e : 3f8151f7;
0b3f : d63f8051;
0b40 : f7d13f80;
0b41 : 51f7a23f;
0b42 : 823d0d04;
0b43 : fd3d0d75;
0b44 : 52805480;
0b45 : ff722588;
0b46 : 38810bff;
0b47 : 80135354;
0b48 : ffbf1251;
0b49 : 70992686;
0b4a : 38e01252;
0b4b : b039ff9f;
0b4c : 12519971;
0b4d : 27a738d0;
0b4e : 12e01354;
0b4f : 51708926;
0b50 : 85387252;
0b51 : 9839728f;
0b52 : 26853872;
0b53 : 528f3971;
0b54 : ba2e0981;
0b55 : 0685389a;
0b56 : 52833980;
0b57 : 5273802e;
0b58 : 85388180;
0b59 : 12527181;
0b5a : ff0683c0;
0b5b : 800c853d;
0b5c : 0d04803d;
0b5d : 0d8498c0;
0b5e : 51807170;
0b5f : 81055334;
0b60 : 7084a0c0;
0b61 : 2e098106;
0b62 : f038823d;
0b63 : 0d04fe3d;
0b64 : 0d029705;
0b65 : 3351fef4;
0b66 : 3f83c080;
0b67 : 0881ff06;
0b68 : 83c7dc08;
0b69 : 54528073;
0b6a : 249b3883;
0b6b : c8b40813;
0b6c : 7283c8b8;
0b6d : 08075353;
0b6e : 71733483;
0b6f : c7dc0881;
0b70 : 0583c7dc;
0b71 : 0c843d0d;
0b72 : 04fb3d0d;
0b73 : 8056873d;
0b74 : fc055478;
0b75 : 53795277;
0b76 : 51ffb0e0;
0b77 : 3f873d0d;
0b78 : 04fe3d0d;
0b79 : 83c7f808;
0b7a : 527451ff;
0b7b : b7e43f83;
0b7c : c080088c;
0b7d : 38765375;
0b7e : 5283c7f8;
0b7f : 0851ca3f;
0b80 : 843d0d04;
0b81 : fe3d0d83;
0b82 : c7f80853;
0b83 : 75527451;
0b84 : ffb2a23f;
0b85 : 83c08008;
0b86 : 8d387753;
0b87 : 765283c7;
0b88 : f80851ff;
0b89 : a43f843d;
0b8a : 0d04f73d;
0b8b : 0d80d78e;
0b8c : 3f83c080;
0b8d : 0881ff06;
0b8e : ff055776;
0b8f : 83388157;
0b90 : 819de83f;
0b91 : 83c08008;
0b92 : 83c08008;
0b93 : 565a8715;
0b94 : 33547380;
0b95 : 2e80e138;
0b96 : 740882a4;
0b97 : d02e0981;
0b98 : 0680d538;
0b99 : 800b9116;
0b9a : 33555973;
0b9b : 792e80c8;
0b9c : 3874569a;
0b9d : 16335473;
0b9e : 832e0981;
0b9f : 06a638a4;
0ba0 : 16703355;
0ba1 : 58805273;
0ba2 : 5180d4f7;
0ba3 : 3f805380;
0ba4 : 52735180;
0ba5 : d5963f81;
0ba6 : 14547674;
0ba7 : 25833880;
0ba8 : 54737834;
0ba9 : 811980d8;
0baa : 17911733;
0bab : 56575978;
0bac : 742e0981;
0bad : 06ffbc38;
0bae : 81c4158c;
0baf : a01b5555;
0bb0 : 74742e09;
0bb1 : 8106ff86;
0bb2 : 388b3d0d;
0bb3 : 04f63d0d;
0bb4 : 819cd83f;
0bb5 : 83c08008;
0bb6 : 7d83c080;
0bb7 : 0858595b;
0bb8 : 7783c7dc;
0bb9 : 0c871633;
0bba : 5574802e;
0bbb : 81a73875;
0bbc : 08547382;
0bbd : a7f82e09;
0bbe : 81069338;
0bbf : 90163353;
0bc0 : 87163352;
0bc1 : 82aadc51;
0bc2 : dfb63f81;
0bc3 : 88397382;
0bc4 : a4d02e09;
0bc5 : 810680fd;
0bc6 : 38745282;
0bc7 : aaf051df;
0bc8 : 9f3f8070;
0bc9 : 91183356;
0bca : 5b597379;
0bcb : 2e80e638;
0bcc : a41657f6;
0bcd : 17335579;
0bce : 802e9138;
0bcf : ff155473;
0bd0 : 82268938;
0bd1 : a8187083;
0bd2 : c7dc0c58;
0bd3 : 74812e09;
0bd4 : 81068738;
0bd5 : 82aaf851;
0bd6 : 8d397482;
0bd7 : 2e098106;
0bd8 : 8a3882ab;
0bd9 : 8051ded8;
0bda : 3f953974;
0bdb : 832e0981;
0bdc : 068f3876;
0bdd : 33810552;
0bde : 82ab8c51;
0bdf : dec23f81;
0be0 : 5a811980;
0be1 : d8189118;
0be2 : 33565859;
0be3 : 78742e09;
0be4 : 8106ff9f;
0be5 : 38a81881;
0be6 : c4178ca0;
0be7 : 1d565758;
0be8 : 75742e09;
0be9 : 8106feb8;
0bea : 388c3d0d;
0beb : 04803d0d;
0bec : 72842982;
0bed : b3840570;
0bee : 0883c080;
0bef : 0c51823d;
0bf0 : 0d04803d;
0bf1 : 0d728429;
0bf2 : 82b3a005;
0bf3 : 700883c0;
0bf4 : 800c5182;
0bf5 : 3d0d04f6;
0bf6 : 3d0d7c82;
0bf7 : b5b85255;
0bf8 : ffb3e13f;
0bf9 : 90809008;
0bfa : 5a9080bc;
0bfb : 085b7958;
0bfc : 7a597484;
0bfd : 2e098106;
0bfe : 80c03883;
0bff : c7e03355;
0c00 : 74802e81;
0c01 : 9b3883c7;
0c02 : fc085382;
0c03 : abdc5283;
0c04 : c0800851;
0c05 : ffae9e3f;
0c06 : 83c08008;
0c07 : 81823883;
0c08 : c0800857;
0c09 : 8c3dec11;
0c0a : 55558853;
0c0b : f8155283;
0c0c : c7fc0851;
0c0d : ffac853f;
0c0e : 97398c3d;
0c0f : f8055388;
0c10 : 527480c0;
0c11 : 802983c8;
0c12 : 84080551;
0c13 : 81b8c73f;
0c14 : 7793f880;
0c15 : 83067aec;
0c16 : 87fffc06;
0c17 : 07705b90;
0c18 : 80900c7a;
0c19 : 9080bc0c;
0c1a : 8056f3e8;
0c1b : 3f820b83;
0c1c : c0800825;
0c1d : 8e38f3dc;
0c1e : 3f83c080;
0c1f : 08852483;
0c20 : 38815680;
0c21 : 55f3f33f;
0c22 : 83c08008;
0c23 : 812e0981;
0c24 : 06863883;
0c25 : c0800855;
0c26 : 75527451;
0c27 : 81bbec3f;
0c28 : 8c3d0d04;
0c29 : f73d0d7b;
0c2a : 82b5b852;
0c2b : 56ffb294;
0c2c : 3f908090;
0c2d : 08599080;
0c2e : bc085a75;
0c2f : 842e0981;
0c30 : 0680d538;
0c31 : 83c7e033;
0c32 : 5574802e;
0c33 : 82a83883;
0c34 : c7fc0853;
0c35 : 82abdc52;
0c36 : 83c08008;
0c37 : 51ffacd5;
0c38 : 3f83c080;
0c39 : 085583c0;
0c3a : 8008828a;
0c3b : 3883c080;
0c3c : 085283c7;
0c3d : fc0851ff;
0c3e : aaf63f74;
0c3f : 588b3df4;
0c40 : 11555588;
0c41 : 53f81552;
0c42 : 83c7fc08;
0c43 : 51ffa8fa;
0c44 : 3fffa8b2;
0c45 : 3f81df39;
0c46 : f8d83f80;
0c47 : 0b83c7dc;
0c48 : 0c800b83;
0c49 : c8b80c82;
0c4a : abe851db;
0c4b : 933f80d0;
0c4c : 0b83c7dc;
0c4d : 0c82abfc;
0c4e : 51db853f;
0c4f : 81a00b83;
0c50 : c7dc0c82;
0c51 : ac9051da;
0c52 : f73f86ac;
0c53 : 8080538c;
0c54 : 80805283;
0c55 : c8840851;
0c56 : 81b6bb3f;
0c57 : 82ac9c51;
0c58 : dade3f8c;
0c59 : 80805283;
0c5a : c8840851;
0c5b : 81b7f83f;
0c5c : 82aca851;
0c5d : daca3f88;
0c5e : 54805375;
0c5f : 8d2b86ac;
0c60 : 80801153;
0c61 : 8c3df805;
0c62 : 5255e9f0;
0c63 : 3f81bba5;
0c64 : 3f818080;
0c65 : 54805375;
0c66 : 81808029;
0c67 : 86b08080;
0c68 : 055283c0;
0c69 : 800851e9;
0c6a : d33f81ba;
0c6b : fe3f80c0;
0c6c : 80548053;
0c6d : 86ae8080;
0c6e : 155283c0;
0c6f : 800851e9;
0c70 : bb3f83c8;
0c71 : 8408fe80;
0c72 : 0683c887;
0c73 : 33703070;
0c74 : 972a8280;
0c75 : 0686ac80;
0c76 : 80733157;
0c77 : 8c808005;
0c78 : 55575751;
0c79 : 81b7f03f;
0c7a : 82acb451;
0c7b : d9d23fbd;
0c7c : 84c051f5;
0c7d : 803f8b3d;
0c7e : 0d04fd3d;
0c7f : 0d83c7e0;
0c80 : 33547380;
0c81 : 2e819638;
0c82 : 83c7f808;
0c83 : 51ffa9ba;
0c84 : 3f83c080;
0c85 : 085483c0;
0c86 : 80088180;
0c87 : 802e0981;
0c88 : 06883881;
0c89 : ba8f3f80;
0c8a : e53983c7;
0c8b : f80851ff;
0c8c : a9983f83;
0c8d : c0800854;
0c8e : 83c08008;
0c8f : 80d0802e;
0c90 : 098106ab;
0c91 : 3881b9ed;
0c92 : 3f83c080;
0c93 : 08b08005;
0c94 : 53735283;
0c95 : c7f80851;
0c96 : f6ef3f81;
0c97 : b9d73fa0;
0c98 : 805381ff;
0c99 : 5283c080;
0c9a : 0851f48f;
0c9b : 3faf3983;
0c9c : c7f80851;
0c9d : ffa8d33f;
0c9e : 83c08008;
0c9f : 5483c080;
0ca0 : 0880c080;
0ca1 : 2e098106;
0ca2 : 943881b9;
0ca3 : 9e3f83c0;
0ca4 : 80085373;
0ca5 : 5283c7f8;
0ca6 : 0851f6ad;
0ca7 : 3f853d0d;
0ca8 : 04fc3d0d;
0ca9 : 80538684;
0caa : 80805272;
0cab : 83ffff06;
0cac : 72708405;
0cad : 540c8113;
0cae : 5383ffff;
0caf : 7325ec38;
0cb0 : 81548053;
0cb1 : 86848080;
0cb2 : 55747084;
0cb3 : 05560873;
0cb4 : 83ffff06;
0cb5 : 71327030;
0cb6 : 70720770;
0cb7 : 09709f2c;
0cb8 : 79068119;
0cb9 : 59595151;
0cba : 54515183;
0cbb : ffff7325;
0cbc : d8388052;
0cbd : 73722e9c;
0cbe : 38811270;
0cbf : 81065252;
0cc0 : 70802e89;
0cc1 : 38c80b87;
0cc2 : a09a34ed;
0cc3 : 397087a0;
0cc4 : 9a34e639;
0cc5 : 81127081;
0cc6 : 06525270;
0cc7 : 802e8938;
0cc8 : b80b87a0;
0cc9 : 9a34ed39;
0cca : 7087a09a;
0ccb : 34e639fe;
0ccc : 3d0d9080;
0ccd : 80528684;
0cce : 808051ff;
0ccf : a8b53f80;
0cd0 : 5383c080;
0cd1 : 08732e09;
0cd2 : 81068c38;
0cd3 : 83c88008;
0cd4 : 5181aafa;
0cd5 : 3f815372;
0cd6 : 83c0800c;
0cd7 : 843d0d04;
0cd8 : f33d0d7f;
0cd9 : 70525dff;
0cda : a6e03f83;
0cdb : c080085a;
0cdc : 805bf3fe;
0cdd : 3f7a83c7;
0cde : dc0c7a83;
0cdf : c8b80c82;
0ce0 : acc051d6;
0ce1 : bb3f80d0;
0ce2 : 0b83c7dc;
0ce3 : 0c82abfc;
0ce4 : 51d6ad3f;
0ce5 : 81a00b83;
0ce6 : c7dc0c7a;
0ce7 : 7a2582d8;
0ce8 : 38795880;
0ce9 : 59908080;
0cea : 7a258538;
0ceb : 90808058;
0cec : 7978317b;
0ced : 537d525a;
0cee : ffa5b53f;
0cef : 8f3dfc11;
0cf0 : 55785455;
0cf1 : 86ac8080;
0cf2 : 527c51ff;
0cf3 : a4ee3f83;
0cf4 : c0800880;
0cf5 : 2e963878;
0cf6 : 5582ab39;
0cf7 : 78547453;
0cf8 : 755282ac;
0cf9 : d051d5d8;
0cfa : 3f828439;
0cfb : 83c08008;
0cfc : 597783c0;
0cfd : 80082e81;
0cfe : 9e3886ac;
0cff : 80805c7b;
0d00 : 33568076;
0d01 : 81065657;
0d02 : 74772e84;
0d03 : 38818057;
0d04 : 75812a70;
0d05 : 81065155;
0d06 : 74802e86;
0d07 : 387680c0;
0d08 : 07577582;
0d09 : 2a708106;
0d0a : 51557480;
0d0b : 2e853876;
0d0c : a0075775;
0d0d : 832a7081;
0d0e : 06515574;
0d0f : 802e8538;
0d10 : 76900757;
0d11 : 75842a70;
0d12 : 81065155;
0d13 : 74802e85;
0d14 : 38768807;
0d15 : 5775852a;
0d16 : 70810651;
0d17 : 5574802e;
0d18 : 85387684;
0d19 : 07577586;
0d1a : 2a708106;
0d1b : 51557480;
0d1c : 2e853876;
0d1d : 82075775;
0d1e : 982b5574;
0d1f : 80258538;
0d20 : 76810757;
0d21 : 767c7081;
0d22 : 055e3481;
0d23 : 19597878;
0d24 : 2e098106;
0d25 : fee93882;
0d26 : ace451d4;
0d27 : a33f7752;
0d28 : 7a5181b1;
0d29 : c23f82ac;
0d2a : e851d494;
0d2b : 3f86ac80;
0d2c : 80537752;
0d2d : 7a5181b2;
0d2e : 9e3f82ac;
0d2f : ec51d480;
0d30 : 3f96800a;
0d31 : 5377527a;
0d32 : 5181afca;
0d33 : 3f805977;
0d34 : 792e9c38;
0d35 : 86ac8080;
0d36 : 19339680;
0d37 : 0a1a3356;
0d38 : 5675752e;
0d39 : 098106fd;
0d3a : f3388119;
0d3b : 59e13977;
0d3c : 1b5b7980;
0d3d : 24fdaa38;
0d3e : 82acb451;
0d3f : d3c23fbd;
0d40 : 84c051ee;
0d41 : f03f7483;
0d42 : c0800c8f;
0d43 : 3d0d04f9;
0d44 : 3d0d7982;
0d45 : b5b85256;
0d46 : ffa9a93f;
0d47 : 83c08008;
0d48 : 5775842e;
0d49 : 09810681;
0d4a : 833883c7;
0d4b : e0335574;
0d4c : 802e81d4;
0d4d : 3883c7f8;
0d4e : 085382ac;
0d4f : f05283c0;
0d50 : 800851ff;
0d51 : a3ef3f83;
0d52 : c0800884;
0d53 : 38f9ab3f;
0d54 : 83c7f808;
0d55 : 5382ad80;
0d56 : 527651ff;
0d57 : a3d73f83;
0d58 : c0800884;
0d59 : 38f9933f;
0d5a : 83c7fc08;
0d5b : 5382ad8c;
0d5c : 527651ff;
0d5d : a3bf3f83;
0d5e : c0800881;
0d5f : 8b38893d;
0d60 : fc055484;
0d61 : 80805386;
0d62 : a8808052;
0d63 : 83c7fc08;
0d64 : 51ffa1a8;
0d65 : 3f83c080;
0d66 : 08893877;
0d67 : 8480802e;
0d68 : 80e03880;
0d69 : 0b83c7d8;
0d6a : 3480dd39;
0d6b : 81b2fc3f;
0d6c : 83c08008;
0d6d : 5380c080;
0d6e : 527580c0;
0d6f : 802983c8;
0d70 : 84080582;
0d71 : 80800551;
0d72 : 81adcb3f;
0d73 : 81b2e63f;
0d74 : 83c08008;
0d75 : 53818080;
0d76 : 52758180;
0d77 : 802983c8;
0d78 : 84080584;
0d79 : 80800551;
0d7a : 81adab3f;
0d7b : 86a88080;
0d7c : 53848080;
0d7d : 5283c884;
0d7e : 08888080;
0d7f : 055181ad;
0d80 : 953f810b;
0d81 : 83c7d834;
0d82 : 83c7d833;
0d83 : 51e7a53f;
0d84 : 893d0d04;
0d85 : fe3d0dff;
0d86 : b80b87a0;
0d87 : 9a348052;
0d88 : 83c89851;
0d89 : 818e8d3f;
0d8a : 815283c8;
0d8b : 8851818e;
0d8c : 833fb80b;
0d8d : 87a09a34;
0d8e : 800b83c7;
0d8f : d8348053;
0d90 : ec893f83;
0d91 : c0800883;
0d92 : 38815372;
0d93 : 83c7e034;
0d94 : 7281ff06;
0d95 : 5372802e;
0d96 : a238f9d3;
0d97 : 3f83c080;
0d98 : 08802e89;
0d99 : 38980b87;
0d9a : a09a348f;
0d9b : 398f0b87;
0d9c : a09a3483;
0d9d : c0800883;
0d9e : c7e03481;
0d9f : b1a43f80;
0da0 : 51fd8c3f;
0da1 : 8051f2cf;
0da2 : 3f8451fd;
0da3 : 823f8451;
0da4 : f2c53f81;
0da5 : 0b83c8b0;
0da6 : 34a1f63f;
0da7 : 8151ecbd;
0da8 : 3fb0eb3f;
0da9 : 843d0d04;
0daa : 83c08c08;
0dab : 0283c08c;
0dac : 0cfb3d0d;
0dad : 0280db8e;
0dae : 5383c08c;
0daf : 08fc050c;
0db0 : 8051cff1;
0db1 : 3f81a6cb;
0db2 : 3f82ad98;
0db3 : 0b83c3a4;
0db4 : 0c82ad9c;
0db5 : 0b83c39c;
0db6 : 0c82ada0;
0db7 : 0b83c3b4;
0db8 : 0c82ada4;
0db9 : 0b83c3b0;
0dba : 0c82ada8;
0dbb : 0b83c3a8;
0dbc : 0c800b83;
0dbd : c7e40b83;
0dbe : c08c08f8;
0dbf : 050c83c0;
0dc0 : 8c08f405;
0dc1 : 0cff9fe3;
0dc2 : 3f83c080;
0dc3 : 088605fc;
0dc4 : 0683c08c;
0dc5 : 08f0050c;
0dc6 : 0283c08c;
0dc7 : 08f00508;
0dc8 : 310d833d;
0dc9 : 7083c08c;
0dca : 08f80508;
0dcb : 70840583;
0dcc : c08c08f8;
0dcd : 050c0c51;
0dce : ff9bf43f;
0dcf : 83c08c08;
0dd0 : f4050881;
0dd1 : 0583c08c;
0dd2 : 08f4050c;
0dd3 : 83c08c08;
0dd4 : f4050888;
0dd5 : 2e098106;
0dd6 : ffab3886;
0dd7 : 94808051;
0dd8 : de843fff;
0dd9 : 0b83c7dc;
0dda : 0c800b83;
0ddb : c8b80c84;
0ddc : 98c00b83;
0ddd : c8b40c81;
0dde : 51e2ae3f;
0ddf : 8151e2d3;
0de0 : 3f8051e2;
0de1 : ce3f8151;
... This diff was truncated because it exceeds the maximum size that can be displayed.

Also available in: Unified diff