Project

General

Profile

« Previous | Next » 

Revision 968

Added by markw over 5 years ago

basic mist ypbpr support

View differences:

firmware_eclairexl/Makefile
$(MIST_BUILD_DIR)/%.o: %.c Makefile
mkdir -p `dirname $@`
$(CC) -I$(MIST_DIR) $(CFLAGS) -DNO_VID2I2C -DMAX_VIDEO_MODE=1 -o $@ -c $<
$(CC) -I$(MIST_DIR) $(CFLAGS) -DNO_VID2I2C -DMAX_VIDEO_MODE=3 -DMIST_VIDEO_MODE -o $@ -c $<
$(MIST_5200_BUILD_DIR)/%.o: %.c Makefile
mkdir -p `dirname $@`
firmware_eclairexl/main.h
char const * get_video_mode(int video_mode)
{
#ifndef MIST_VIDEO_MODE
static char const * videotxt[] =
{
"RGB",
......
"VGA",
"COMPOSITE"
};
#else
static char const * videotxt[] =
{
"RGB",
"SCANDOUBLE",
"YPBPR 240",
"YPBPR 480",
"",
"",
""
};
#endif
return videotxt[video_mode];
}
mist/atari800core_mist.vhd
);
end component;
COMPONENT rgb2ypbpr
PORT (
red : IN std_logic_vector(5 DOWNTO 0);
green : IN std_logic_vector(5 DOWNTO 0);
blue : IN std_logic_vector(5 DOWNTO 0);
y : OUT std_logic_vector(5 DOWNTO 0);
pb : OUT std_logic_vector(5 DOWNTO 0);
pr : OUT std_logic_vector(5 DOWNTO 0)
);
END COMPONENT;
component user_io
GENERIC(
STRLEN : in integer := 0
......
signal scanlines : std_logic;
signal csync : std_logic;
signal video_mode : std_logic_vector(2 downto 0);
signal ypbpr : std_logic;
-- pll reconfig
signal CLK_RECONFIG_PLL : std_logic;
signal CLK_RECONFIG_PLL_LOCKED : std_logic;
-- ypbpr
signal SCANDOUBLE_B : STD_LOGIC_VECTOR(5 DOWNTO 0);
signal SCANDOUBLE_G : STD_LOGIC_VECTOR(5 DOWNTO 0);
signal SCANDOUBLE_R : STD_LOGIC_VECTOR(5 DOWNTO 0);
signal vga_y_o : std_logic_vector(5 downto 0);
signal vga_pb_o : std_logic_vector(5 downto 0);
signal vga_pr_o : std_logic_vector(5 downto 0);
BEGIN
-- hack for paddles
process(clk,RESET_N)
......
RESET_N => RESET_N and SDRAM_RESET_N and not(reset_atari),
VGA => scandouble,
COMPOSITE_ON_HSYNC => csync,
COMPOSITE_ON_HSYNC => csync or ypbpr,
colour_enable => half_scandouble_enable_reg,
doubled_enable => '1',
......
csync_in => VGA_CS_RAW,
-- TO TV...
R => VGA_R,
G => VGA_G,
B => VGA_B,
R => SCANDOUBLE_R,
G => SCANDOUBLE_G,
B => SCANDOUBLE_B,
VSYNC => VGA_VS,
HSYNC => VGA_HS
);
rgb2component: rgb2ypbpr
port map (
red => SCANDOUBLE_R,
green => SCANDOUBLE_G,
blue => SCANDOUBLE_B,
y => vga_y_o,
pb => vga_pb_o,
pr => vga_pr_o
);
VGA_R <= vga_pr_o when ypbpr='1' else SCANDOUBLE_R;
VGA_G <= vga_y_o when ypbpr='1' else SCANDOUBLE_G;
VGA_B <= vga_pb_o when ypbpr='1' else SCANDOUBLE_B;
zpu: entity work.zpucore
GENERIC MAP
(
......
process(video_mode)
begin
SCANDOUBLE <= '0';
YPBPR <= '0';
-- original RGB
-- scandoubled RGB (works on some vga devices...)
-- svideo
-- hdmi with audio (and vga exact mode...)
-- dvi (i.e. no preamble or audio) (and vga exact mode...)
-- vga exact mode (hdmi off)
-- composite (todo firmware...)
-- ypbpr
-- scandoubled ypbpr
case video_mode is
when "000" =>
when "001" =>
SCANDOUBLE <= '1';
when "010" => -- svideo
-- not supported -- TODO: need to provide a bitmask to firmware on what modes we support I think? Then can handle this in a better way!
when "010" =>
YPBPR <= '1';
when "011" =>
-- not supported
SCANDOUBLE <= '1';
YPBPR <= '1';
when "100" =>
-- not supported
when "101" =>
mist/makeqsf
my @vhdl = glob ("$_/*.vhd");
push @vhdl, glob ("$_/*.vhdl");
my @verilog = glob ("$_/*.v");
my @systemverilog = glob ("$_/*.sv");
my @qip = glob ("$_/*.qip");
foreach (@verilog)
......
print QSF_OUT "set_global_assignment -name VERILOG_FILE $_\n";
}
foreach (@systemverilog)
{
print QSF_OUT "set_global_assignment -name SYSTEMVERILOG_FILE $_\n";
}
foreach (@vhdl)
{
print QSF_OUT "set_global_assignment -name VHDL_FILE $_\n";
mist/zpu_rom.mif
009f : 00000000;
00a0 : 71fc0608;
00a1 : 0b0b81a1;
00a2 : ec738306;
00a2 : fc738306;
00a3 : 10100508;
00a4 : 060b0b0b;
00a5 : 88a20400;
......
00ce : 00000000;
00cf : 00000000;
00d0 : 810b81ad;
00d1 : 800c5104;
00d1 : 840c5104;
00d2 : 00000000;
00d3 : 00000000;
00d4 : 00000000;
......
0116 : 83c08008;
0117 : 83c08408;
0118 : 83c08808;
0119 : 7575819b;
011a : f12d5050;
0119 : 7575819c;
011a : 822d5050;
011b : 83c08008;
011c : 5683c088;
011d : 0c83c084;
......
0120 : c0800883;
0121 : c0840883;
0122 : c0880875;
0123 : 75819bb0;
0123 : 75819bc1;
0124 : 2d505083;
0125 : c0800856;
0126 : 83c0880c;
......
0129 : 51040000;
012a : 800489aa;
012b : 0489aa0b;
012c : 80e4a304;
012c : 80e4b404;
012d : fd3d0d75;
012e : 705254ae;
012f : c63f83c0;
......
0221 : 0d7b7d5a;
0222 : 5a82d052;
0223 : 83c2c408;
0224 : 518189fc;
0224 : 51818a8d;
0225 : 3f83c080;
0226 : 0857f9aa;
0227 : 3f795283;
......
022d : 81068283;
022e : 3883c0a8;
022f : 080b0b81;
0230 : a6dc5370;
0230 : a6ec5370;
0231 : 5256a69f;
0232 : 3f0b0b81;
0233 : a6dc5280;
0233 : a6ec5280;
0234 : c01651a6;
0235 : 923f75bc;
0236 : 170c7382;
......
0344 : ffab3976;
0345 : 982b5574;
0346 : 80258738;
0347 : 81a0fc17;
0347 : 81a18c17;
0348 : 3357ff9f;
0349 : 17557499;
034a : 268938e0;
......
0408 : 842a903d;
0409 : 33547171;
040a : 31535656;
040b : 80fae13f;
040b : 80faf23f;
040c : 83c08008;
040d : 82057088;
040e : 1c0c83c0;
......
04a8 : 5376802e;
04a9 : b7387452;
04aa : ff165180;
04ab : f5e23f83;
04ab : f5f33f83;
04ac : c08008ff;
04ad : 18765470;
04ae : 53585380;
04af : f5d23f83;
04af : f5e33f83;
04b0 : c0800873;
04b1 : 26963874;
04b2 : 30707806;
......
0585 : 902e0981;
0586 : 0680cd38;
0587 : 02ab0533;
0588 : 81ad880b;
0589 : 81ad8833;
0588 : 81ad8c0b;
0589 : 81ad8c33;
058a : 5758568c;
058b : 3974762e;
058c : 8a388417;
......
05d0 : 387451e5;
05d1 : c33f83c0;
05d2 : 800881a6;
05d3 : e85383c0;
05d3 : f85383c0;
05d4 : 80085253;
05d5 : fea33f83;
05d6 : c08008b0;
05d7 : 3881a6ec;
05d7 : 3881a6fc;
05d8 : 527251fe;
05d9 : 943f83c0;
05da : 8008a138;
05db : 81a6f052;
05db : 81a78052;
05dc : 7251fe85;
05dd : 3f83c080;
05de : 08923881;
05df : a6f45272;
05df : a7845272;
05e0 : 51fdf63f;
05e1 : 83c08008;
05e2 : 802e8338;
......
05f7 : 2e85cf38;
05f8 : 86537a52;
05f9 : 83c09451;
05fa : a79b3f7e;
05fa : a7ac3f7e;
05fb : 51daae3f;
05fc : 83c08008;
05fd : 33973d56;
05fe : 54737b2e;
05ff : 09810696;
0600 : 3881b19c;
0600 : 3881b1a0;
0601 : 52745187;
0602 : de3f9a39;
0603 : 7e527851;
......
0626 : 55577380;
0627 : 24ed3874;
0628 : 602e8638;
0629 : a7d63f74;
0629 : a7e73f74;
062a : 4078ff1b;
062b : 70585e58;
062c : 807a2595;
......
0632 : 38800b83;
0633 : c7cc0c81;
0634 : 800b83c8;
0635 : 880c81a6;
0636 : f8518be2;
0635 : 880c81a7;
0636 : 88518be2;
0637 : 3f800b83;
0638 : c8880c83;
0639 : c0945281;
063a : a780518b;
063a : a790518b;
063b : d13fa80b;
063c : 83c7cc0c;
063d : 76802e80;
......
0645 : 56e29b3f;
0646 : 83c08008;
0647 : 802e8838;
0648 : 81a78c51;
0648 : 81a79c51;
0649 : 8b983f76;
064a : 51e1dd3f;
064b : 83c08008;
064c : 5281abec;
064c : 5281abf0;
064d : 518b873f;
064e : 7651e1e5;
064f : 3f83c080;
......
065b : 9b3f83c0;
065c : 80087852;
065d : 55e1bb3f;
065e : 81a79454;
065e : 81a7a454;
065f : 83c08008;
0660 : 853881a9;
0661 : c8547453;
0661 : c0547453;
0662 : 735281a6;
0663 : e0518aae;
0663 : f0518aae;
0664 : 3f805481;
0665 : a9a4518a;
0665 : a99c518a;
0666 : a53f8114;
0667 : 5473a82e;
0668 : 098106ef;
0669 : 38868da0;
066a : 51a3d43f;
066a : 51a3e53f;
066b : 8052913d;
066c : 70525780;
066d : ebe13f83;
066d : ebf23f83;
066e : 52765180;
066f : ebd93f64;
066f : ebea3f64;
0670 : 5473ff2e;
0671 : 09810697;
0672 : 38ff1b70;
......
067a : 81069138;
067b : 86538052;
067c : 83c09451;
067d : a38f3f80;
067d : a3a03f80;
067e : 5b81a539;
067f : 7383c094;
0680 : 1c34811b;
......
0692 : 7851dfbc;
0693 : 3f83c080;
0694 : 085281a6;
0695 : dc5181e8;
0695 : ec5181e8;
0696 : 3f83c080;
0697 : 08a3387d;
0698 : 5183a03f;
......
06a8 : 63802efb;
06a9 : 88388052;
06aa : 765180e9;
06ab : ea3fa53d;
06ab : fb3fa53d;
06ac : 0d04ff3d;
06ad : 0d028f05;
06ae : 33701081;
......
06b5 : 2a708106;
06b6 : 51515170;
06b7 : 802e8638;
06b8 : beea3fea;
06b8 : befb3fea;
06b9 : 39719088;
06ba : 800c833d;
06bb : 0d04803d;
......
06be : 70810651;
06bf : 51517080;
06c0 : 2e8638be;
06c1 : c73fea39;
06c1 : d83fea39;
06c2 : 90888808;
06c3 : 83c0800c;
06c4 : 823d0d04;
......
06c9 : 80732594;
06ca : 38737081;
06cb : 05553352;
06cc : 81a79851;
06cc : 81a7a851;
06cd : 87883fff;
06ce : 1353e939;
06cf : 853d0d04;
......
070d : 81558539;
070e : 747a2955;
070f : 74527551;
0710 : 80e2cd3f;
0710 : 80e2de3f;
0711 : 83c08008;
0712 : 7a27ed38;
0713 : 74802e80;
0714 : e0387452;
0715 : 755180e2;
0716 : b73f83c0;
0716 : c83f83c0;
0717 : 80087553;
0718 : 76525480;
0719 : e2ba3f83;
0719 : e2cb3f83;
071a : c080087a;
071b : 53755256;
071c : 80e29d3f;
071c : 80e2ae3f;
071d : 83c08008;
071e : 7930707b;
071f : 079f2a70;
......
0885 : 81aa2e8d;
0886 : 3881c739;
0887 : 80e45192;
0888 : de3fff14;
0888 : ef3fff14;
0889 : 5473802e;
088a : 81b83882;
088b : 0a5281e9;
......
08a8 : 5580c156;
08a9 : 80ce9054;
08aa : 8a3980e4;
08ab : 5191d03f;
08ab : 5191e13f;
08ac : ff145473;
08ad : 802ea938;
08ae : 80527551;
......
08f9 : 5472852e;
08fa : 8c389e39;
08fb : 80e4518f;
08fc : 8e3fff14;
08fc : 9f3fff14;
08fd : 54f9bf3f;
08fe : 83c08008;
08ff : 81ff0653;
......
0906 : 04fc3d0d;
0907 : 76795555;
0908 : 80537452;
0909 : 775184c1;
0909 : 775184d2;
090a : 3f7483c0;
090b : 800c863d;
090c : 0d04fd3d;
090d : 0d757854;
090e : 77537052;
090f : 548ec63f;
090f : 548ed73f;
0910 : 7383c080;
0911 : 0c853d0d;
0912 : 04eb3d0d;
0913 : 67696b6d;
0914 : 5f404040;
0914 : 40414141;
0915 : 80458044;
0916 : 80438042;
0917 : 8070715f;
0918 : 5a5b9098;
0917 : 80707140;
0918 : 5b5c90a9;
0919 : 3f800b83;
091a : c7cc0c80;
091b : 0b83c888;
091c : 0c7f51f4;
091d : c93f7e55;
091c : 0c6051f4;
091d : c93f7f55;
091e : 80d00b83;
091f : c7cc0c80;
0920 : 57805888;
......
0924 : 81065473;
0925 : 782e8338;
0926 : 81588054;
0927 : 78772e09;
0927 : 79772e09;
0928 : 81068938;
0929 : 77548180;
092a : 56778338;
......
092e : 05567408;
092f : 802e8e38;
0930 : 84150852;
0931 : 7b517408;
0931 : 7c517408;
0932 : 54732d8f;
0933 : 39841508;
0934 : 5473802e;
......
0936 : f3e43f8c;
0937 : 15337086;
0938 : 2a708106;
0939 : 51555a73;
0939 : 51555973;
093a : 802e9038;
093b : 83c7d033;
093c : 54738838;
093d : 81a7a051;
093d : 81a7b051;
093e : f3c43f75;
093f : 83c7cc0c;
0940 : 77178c16;
0941 : 3370842a;
0942 : 70810651;
0943 : 56425773;
0943 : 56575773;
0944 : 87389015;
0945 : 55feea39;
0946 : 868da051;
0947 : 8ce13f80;
0947 : 8cf23f80;
0948 : 52923d70;
0949 : 525a80d4;
094a : ee3f8352;
094b : 795180d4;
094c : e63f6454;
094d : 73829338;
0949 : 525b80d4;
094a : ff3f8352;
094b : 7a5180d4;
094c : f73f6454;
094d : 7382a438;
094e : 65558075;
094f : 25833881;
0950 : 547d802e;
0950 : 547e802e;
0951 : 93387381;
0952 : 06547380;
0953 : 2e8a3874;
0954 : 81ff0652;
0955 : 7b517d2d;
0956 : 62197009;
0955 : 7c517e2d;
0956 : 621a7009;
0957 : 709f2c72;
0958 : 06525559;
0959 : 76792484;
095a : 38ff1759;
095b : a9de3f80;
095c : 7f8c0556;
095d : 57fc1508;
095e : 56758c38;
095f : 74338106;
0958 : 0652555a;
0959 : 767a2484;
095a : 38ff175a;
095b : a9ef3f80;
095c : 608c0557;
095d : 57fc1608;
095e : 55748c38;
095f : 75338106;
0960 : 5473802e;
0961 : 81aa3881;
0962 : 5876792e;
0961 : 81bb3881;
0962 : 58767a2e;
0963 : 09810681;
0964 : 9c386357;
0965 : 76802e8d;
0966 : 38743378;
0964 : ad386359;
0965 : 78802e8d;
0966 : 38753378;
0967 : 06547380;
0968 : 2e833877;
0969 : 5d75802e;
096a : 819a3874;
096b : 3370862a;
096c : 70810651;
096d : 55567380;
096e : 2e8c3883;
096f : c7d03354;
0970 : 73802e80;
0971 : ff387581;
0972 : 2a708106;
0973 : 51547380;
0974 : 2e863880;
0975 : 6224a738;
0976 : 75822a70;
0977 : 81065154;
0978 : 73802e86;
0979 : 38618024;
097a : 95387583;
097b : 2a708106;
097c : 51547380;
097d : 2e80cd38;
097e : 76802e80;
097f : c738f815;
0980 : 08537952;
0981 : 7b51fc15;
0982 : 0854732d;
0983 : 83c08008;
0984 : 81ff0654;
0985 : 73802eac;
0986 : 38743370;
0987 : 852a7081;
0988 : 06515555;
0989 : 73802e9c;
098a : 38815b9e;
098b : 39811757;
098c : 74337084;
098d : 2a708106;
098e : 51555673;
098f : 87389015;
0990 : 55feb239;
0991 : 7c802efc;
0992 : 99387a83;
0993 : c0800c97;
0994 : 3d0d0471;
0995 : 83c7c00c;
0996 : 8880800b;
0997 : 83c7bc0c;
0998 : 8480800b;
0999 : 83c7c40c;
099a : 04fd3d0d;
099b : 77701755;
099c : 7705ff1a;
099d : 535371ff;
099e : 2e943873;
099f : 70810555;
09a0 : 33517073;
09a1 : 70810555;
09a2 : 34ff1252;
09a3 : e939853d;
09a4 : 0d04fb3d;
09a5 : 0d87a681;
09a6 : 0b83c7c0;
09a7 : 08565675;
09a8 : 3383a680;
09a9 : 1634a054;
09aa : 83a08053;
09ab : 83c7c008;
09ac : 5283c7bc;
09ad : 0851ffb1;
09ae : 3fa05483;
09af : a4805383;
09b0 : c7c00852;
09b1 : 83c7bc08;
09b2 : 51ff9e3f;
09b3 : 905483a8;
09b4 : 805383c7;
09b5 : c0085283;
09b6 : c7bc0851;
09b7 : ff8b3fa0;
09b8 : 53805283;
09b9 : c7c40883;
09ba : a0800551;
09bb : 89973fa0;
09bc : 53805283;
09bd : c7c40883;
09be : a4800551;
09bf : 89873f90;
09c0 : 53805283;
09c1 : c7c40883;
09c2 : a8800551;
09c3 : 88f73fff;
09c4 : 763483a0;
09c5 : 80548053;
09c6 : 83c7c008;
09c7 : 5283c7c4;
09c8 : 0851fec5;
09c9 : 3f80d080;
09ca : 5483b080;
09cb : 5383c7c0;
09cc : 085283c7;
09cd : c40851fe;
09ce : b03f8ac0;
09cf : 3fa25480;
09d0 : 5383c7c4;
09d1 : 088c8005;
09d2 : 5281adfc;
09d3 : 51fe9a3f;
09d4 : 860b87a8;
09d5 : 8334800b;
09d6 : 87a88234;
09d7 : 800b87a0;
09d8 : 9a34af0b;
09d9 : 87a09634;
09da : bf0b87a0;
09db : 9734800b;
09dc : 87a09834;
09dd : 9f0b87a0;
09de : 9934800b;
09df : 87a09b34;
09e0 : e00b87a8;
09e1 : 8934a20b;
09e2 : 87a88034;
09e3 : 830b87a4;
09e4 : 8f34820b;
09e5 : 87a88134;
09e6 : 873d0d04;
09e7 : fc3d0d83;
09e8 : a0805480;
09e9 : 5383c7c4;
09ea : 085283c7;
09eb : c00851fd;
09ec : b83f80d0;
09ed : 805483b0;
09ee : 805383c7;
09ef : c4085283;
09f0 : c7c00851;
09f1 : fda33fa0;
09f2 : 5483a080;
09f3 : 5383c7c4;
09f4 : 085283c7;
09f5 : c00851fd;
09f6 : 903fa054;
09f7 : 83a48053;
09f8 : 83c7c408;
09f9 : 5283c7c0;
09fa : 0851fcfd;
09fb : 3f905483;
09fc : a8805383;
09fd : c7c40852;
09fe : 83c7c008;
09ff : 51fcea3f;
0a00 : 83c7c008;
0a01 : 5583a680;
0a02 : 153387a6;
0a03 : 8134863d;
0a04 : 0d04fa3d;
0a05 : 0d787052;
0a06 : 55ffbdae;
0a07 : 3f83ffff;
0a08 : 0b83c080;
0a09 : 0825aa38;
0a0a : 7451ffbd;
0a0b : ae3f83c0;
0a0c : 80089e38;
0a0d : 83c08008;
0a0e : 57883dfc;
0a0f : 05548480;
0a10 : 805383c7;
0a11 : c0085274;
0a12 : 51ffbabe;
0a13 : 3fffb9f6;
0a14 : 3f883d0d;
0a15 : 04fa3d0d;
0a16 : 78705255;
0a17 : ffbceb3f;
0a18 : 83ffff0b;
0a19 : 83c08008;
0a1a : 25973880;
0a1b : 57883dfc;
0a1c : 05548480;
0a1d : 805383c7;
0a1e : c0085274;
0a1f : 51ffbbbc;
0a20 : 3f883d0d;
0a21 : 04803d0d;
0a22 : 90809008;
0a23 : 810683c0;
0a24 : 800c823d;
0a25 : 0d04ff3d;
0969 : 5e74802e;
096a : 81ab3875;
096b : 337081ff;
096c : 0670862a;
096d : 70810651;
096e : 56565773;
096f : 802e8c38;
0970 : 83c7d033;
0971 : 5473802e;
0972 : 818b3874;
0973 : 812a7081;
0974 : 06515473;
0975 : 802e8638;
0976 : 806224b3;
0977 : 3874822a;
0978 : 70810651;
0979 : 5473802e;
097a : 86386180;
097b : 24a13874;
097c : 832a7081;
097d : 06515473;
097e : 802e8438;
097f : 78913876;
0980 : 982b5473;
0981 : 802580cd;
0982 : 3865802e;
0983 : 80c738f8;
0984 : 1608537a;
0985 : 527c51fc;
0986 : 16085473;
0987 : 2d83c080;
0988 : 0881ff06;
0989 : 5473802e;
098a : ac387533;
098b : 70852a70;
098c : 81065155;
098d : 5673802e;
098e : 9c38815c;
098f : 9e398117;
0990 : 57753370;
0991 : 842a7081;
0992 : 06515558;
0993 : 73873890;
0994 : 1656fea1;
0995 : 397d802e;
0996 : fc88387b;
0997 : 83c0800c;
0998 : 973d0d04;
0999 : 7183c7c0;
099a : 0c888080;
099b : 0b83c7bc;
099c : 0c848080;
099d : 0b83c7c4;
099e : 0c04fd3d;
099f : 0d777017;
09a0 : 557705ff;
09a1 : 1a535371;
09a2 : ff2e9438;
09a3 : 73708105;
09a4 : 55335170;
09a5 : 73708105;
09a6 : 5534ff12;
09a7 : 52e93985;
09a8 : 3d0d04fb;
09a9 : 3d0d87a6;
09aa : 810b83c7;
09ab : c0085656;
09ac : 753383a6;
09ad : 801634a0;
09ae : 5483a080;
09af : 5383c7c0;
09b0 : 085283c7;
09b1 : bc0851ff;
09b2 : b13fa054;
09b3 : 83a48053;
09b4 : 83c7c008;
09b5 : 5283c7bc;
09b6 : 0851ff9e;
09b7 : 3f905483;
09b8 : a8805383;
09b9 : c7c00852;
09ba : 83c7bc08;
09bb : 51ff8b3f;
09bc : a0538052;
09bd : 83c7c408;
09be : 83a08005;
09bf : 5189973f;
09c0 : a0538052;
09c1 : 83c7c408;
09c2 : 83a48005;
09c3 : 5189873f;
09c4 : 90538052;
09c5 : 83c7c408;
09c6 : 83a88005;
09c7 : 5188f73f;
09c8 : ff763483;
09c9 : a0805480;
09ca : 5383c7c0;
09cb : 085283c7;
09cc : c40851fe;
09cd : c53f80d0;
09ce : 805483b0;
09cf : 805383c7;
09d0 : c0085283;
09d1 : c7c40851;
09d2 : feb03f8a;
09d3 : c03fa254;
09d4 : 805383c7;
09d5 : c4088c80;
09d6 : 055281ae;
09d7 : 8051fe9a;
09d8 : 3f860b87;
09d9 : a8833480;
09da : 0b87a882;
09db : 34800b87;
09dc : a09a34af;
09dd : 0b87a096;
09de : 34bf0b87;
09df : a0973480;
09e0 : 0b87a098;
09e1 : 349f0b87;
09e2 : a0993480;
09e3 : 0b87a09b;
09e4 : 34e00b87;
09e5 : a88934a2;
09e6 : 0b87a880;
09e7 : 34830b87;
09e8 : a48f3482;
09e9 : 0b87a881;
09ea : 34873d0d;
09eb : 04fc3d0d;
09ec : 83a08054;
09ed : 805383c7;
09ee : c4085283;
09ef : c7c00851;
09f0 : fdb83f80;
09f1 : d0805483;
09f2 : b0805383;
09f3 : c7c40852;
09f4 : 83c7c008;
09f5 : 51fda33f;
09f6 : a05483a0;
09f7 : 805383c7;
09f8 : c4085283;
09f9 : c7c00851;
09fa : fd903fa0;
09fb : 5483a480;
09fc : 5383c7c4;
09fd : 085283c7;
09fe : c00851fc;
09ff : fd3f9054;
0a00 : 83a88053;
0a01 : 83c7c408;
0a02 : 5283c7c0;
0a03 : 0851fcea;
0a04 : 3f83c7c0;
0a05 : 085583a6;
0a06 : 80153387;
0a07 : a6813486;
0a08 : 3d0d04fa;
0a09 : 3d0d7870;
0a0a : 5255ffbd;
0a0b : 9d3f83ff;
0a0c : ff0b83c0;
0a0d : 800825aa;
0a0e : 387451ff;
0a0f : bd9d3f83;
0a10 : c080089e;
0a11 : 3883c080;
0a12 : 0857883d;
0a13 : fc055484;
0a14 : 80805383;
0a15 : c7c00852;
0a16 : 7451ffba;
0a17 : ad3fffb9;
0a18 : e53f883d;
0a19 : 0d04fa3d;
0a1a : 0d787052;
0a1b : 55ffbcda;
0a1c : 3f83ffff;
0a1d : 0b83c080;
0a1e : 08259738;
0a1f : 8057883d;
0a20 : fc055484;
0a21 : 80805383;
0a22 : c7c00852;
0a23 : 7451ffbb;
0a24 : ab3f883d;
0a25 : 0d04803d;
0a26 : 0d908090;
0a27 : 700870fe;
0a28 : 06760772;
0a29 : 0c525283;
0a2a : 3d0d0480;
0a2b : 3d0d9080;
0a2c : 90087081;
0a2d : 2c810683;
0a2e : c0800c51;
0a2f : 823d0d04;
0a30 : ff3d0d90;
0a31 : 80907008;
0a32 : 70fd0676;
0a33 : 1007720c;
0a34 : 5252833d;
0a35 : 0d04803d;
0a36 : 0d908090;
0a37 : 0870822c;
0a38 : bf0683c0;
0a39 : 800c5182;
0a3a : 3d0d04ff;
0a3b : 3d0d9080;
0a3c : 90700870;
0a3d : fe830676;
0a3e : 822b0772;
0a3f : 0c525283;
0a40 : 3d0d0480;
0a41 : 3d0d9080;
0a42 : 90087088;
0a43 : 2c870683;
0a44 : c0800c51;
0a45 : 823d0d04;
0a46 : ff3d0d90;
0a47 : 80907008;
0a48 : 70f1ff06;
0a49 : 76882b07;
0a4a : 720c5252;
0a4b : 833d0d04;
0a4c : 803d0d90;
0a4d : 80900870;
0a4e : 8b2c8106;
0a4f : 83c0800c;
0a50 : 51823d0d;
0a51 : 04ff3d0d;
0a52 : 90809070;
0a53 : 0870efff;
0a54 : 06768b2b;
0a55 : 07720c52;
0a56 : 52833d0d;
0a57 : 04803d0d;
0a58 : 90809008;
0a59 : 70912cbf;
0a5a : 0683c080;
0a5b : 0c51823d;
0a5c : 0d04ff3d;
0a5d : 0d908090;
0a5e : 700870fc;
0a5f : 87ffff06;
0a60 : 76912b07;
0a61 : 720c5252;
0a62 : 833d0d04;
0a63 : 803d0d90;
0a64 : 80900870;
0a65 : 992c8106;
0a66 : 83c0800c;
0a67 : 51823d0d;
0a68 : 04ff3d0d;
0a69 : 90809070;
0a6a : 0870ffbf;
0a6b : 0a067699;
0a6c : 2b07720c;
0a6d : 5252833d;
0a6e : 0d04803d;
0a6f : 0d908090;
0a70 : 08709a2c;
0a71 : 830683c0;
0a72 : 800c5182;
0a73 : 3d0d04ff;
0a74 : 3d0d9080;
0a75 : 90700870;
0a76 : cf0a0676;
0a77 : 9a2b0772;
0a78 : 0c525283;
0a79 : 3d0d0480;
0a7a : 3d0d9080;
0a7b : 9008709c;
0a7c : 2c870683;
0a7d : c0800c51;
0a7e : 823d0d04;
0a7f : ff3d0d90;
0a80 : 80907008;
0a81 : 70f10a06;
0a82 : 769c2b07;
0a83 : 720c5252;
0a84 : 833d0d04;
0a85 : 803d0d90;
0a86 : 80900870;
0a87 : 9f2a83c0;
0a88 : 800c5182;
0a89 : 3d0d04ff;
0a8a : 3d0d9080;
0a8b : 90700870;
0a8c : fe0a0670;
0a8d : 779f2b07;
0a8e : 730c5152;
0a8f : 52833d0d;
0a90 : 04803d0d;
0a91 : 9080bc08;
0a92 : 870683c0;
0a93 : 800c823d;
0a94 : 0d04ff3d;
0a27 : 08810683;
0a28 : c0800c82;
0a29 : 3d0d04ff;
0a2a : 3d0d9080;
0a2b : 90700870;
0a2c : fe067607;
0a2d : 720c5252;
0a2e : 833d0d04;
0a2f : 803d0d90;
0a30 : 80900870;
0a31 : 812c8106;
0a32 : 83c0800c;
0a33 : 51823d0d;
0a34 : 04ff3d0d;
0a35 : 90809070;
0a36 : 0870fd06;
0a37 : 76100772;
0a38 : 0c525283;
0a39 : 3d0d0480;
0a3a : 3d0d9080;
0a3b : 90087082;
0a3c : 2cbf0683;
0a3d : c0800c51;
0a3e : 823d0d04;
0a3f : ff3d0d90;
0a40 : 80907008;
0a41 : 70fe8306;
0a42 : 76822b07;
0a43 : 720c5252;
0a44 : 833d0d04;
0a45 : 803d0d90;
0a46 : 80900870;
0a47 : 882c8706;
0a48 : 83c0800c;
0a49 : 51823d0d;
0a4a : 04ff3d0d;
0a4b : 90809070;
0a4c : 0870f1ff;
0a4d : 0676882b;
0a4e : 07720c52;
0a4f : 52833d0d;
0a50 : 04803d0d;
0a51 : 90809008;
0a52 : 708b2c81;
0a53 : 0683c080;
0a54 : 0c51823d;
0a55 : 0d04ff3d;
0a56 : 0d908090;
0a57 : 700870ef;
0a58 : ff06768b;
0a59 : 2b07720c;
0a5a : 5252833d;
0a5b : 0d04803d;
0a5c : 0d908090;
0a5d : 0870912c;
0a5e : bf0683c0;
0a5f : 800c5182;
0a60 : 3d0d04ff;
0a61 : 3d0d9080;
0a62 : 90700870;
0a63 : fc87ffff;
0a64 : 0676912b;
0a65 : 07720c52;
0a66 : 52833d0d;
0a67 : 04803d0d;
0a68 : 90809008;
0a69 : 70992c81;
0a6a : 0683c080;
0a6b : 0c51823d;
0a6c : 0d04ff3d;
0a6d : 0d908090;
0a6e : 700870ff;
0a6f : bf0a0676;
0a70 : 992b0772;
0a71 : 0c525283;
0a72 : 3d0d0480;
0a73 : 3d0d9080;
0a74 : 9008709a;
0a75 : 2c830683;
0a76 : c0800c51;
0a77 : 823d0d04;
0a78 : ff3d0d90;
0a79 : 80907008;
0a7a : 70cf0a06;
0a7b : 769a2b07;
0a7c : 720c5252;
0a7d : 833d0d04;
0a7e : 803d0d90;
0a7f : 80900870;
0a80 : 9c2c8706;
0a81 : 83c0800c;
0a82 : 51823d0d;
0a83 : 04ff3d0d;
0a84 : 90809070;
0a85 : 0870f10a;
0a86 : 06769c2b;
0a87 : 07720c52;
0a88 : 52833d0d;
0a89 : 04803d0d;
0a8a : 90809008;
0a8b : 709f2a83;
0a8c : c0800c51;
0a8d : 823d0d04;
0a8e : ff3d0d90;
0a8f : 80907008;
0a90 : 70fe0a06;
0a91 : 70779f2b;
0a92 : 07730c51;
0a93 : 5252833d;
0a94 : 0d04803d;
0a95 : 0d9080bc;
0a96 : 700870f8;
0a97 : 06760772;
0a98 : 0c525283;
0a99 : 3d0d0480;
0a9a : 3d0d9080;
0a9b : bc087084;
0a9c : 2c810683;
0a9d : c0800c51;
0a9e : 823d0d04;
0a9f : ff3d0d90;
0aa0 : 80bc7008;
0aa1 : 70ef0676;
0aa2 : 842b0772;
0aa3 : 0c525283;
0aa4 : 3d0d0480;
0aa5 : 3d0d9080;
0aa6 : bc087085;
0aa7 : 2c810683;
0aa8 : c0800c51;
0aa9 : 823d0d04;
0aaa : ff3d0d90;
0aab : 80bc7008;
0aac : 70df0676;
0aad : 852b0772;
0aae : 0c525283;
0aaf : 3d0d0480;
0ab0 : 3d0d9080;
0ab1 : bc087086;
0ab2 : 2c810683;
0ab3 : c0800c51;
0ab4 : 823d0d04;
0ab5 : ff3d0d90;
0ab6 : 80bc7008;
0ab7 : 70ffbf06;
0ab8 : 76862b07;
0ab9 : 720c5252;
0aba : 833d0d04;
0abb : 803d0d90;
0abc : 80800870;
0abd : 882c8106;
0abe : 83c0800c;
0abf : 51823d0d;
0ac0 : 04803d0d;
0ac1 : 90808008;
0ac2 : 70892c81;
0ac3 : 0683c080;
0ac4 : 0c51823d;
0ac5 : 0d04803d;
0ac6 : 0d908080;
0ac7 : 08708a2c;
0ac8 : 810683c0;
0ac9 : 800c5182;
0aca : 3d0d0480;
0acb : 3d0d9080;
0acc : 8008708b;
0acd : 2c810683;
0ace : c0800c51;
0acf : 823d0d04;
0ad0 : 803d0d90;
0ad1 : 80800870;
0ad2 : 8c2cbf06;
0ad3 : 83c0800c;
0ad4 : 51823d0d;
0ad5 : 04803d0d;
0ad6 : 90808008;
0ad7 : 70922c81;
0ad8 : 0683c080;
0ad9 : 0c51823d;
0ada : 0d04803d;
0adb : 0d908080;
0adc : 0870932c;
0add : 810683c0;
0ade : 800c5182;
0adf : 3d0d0471;
0ae0 : 9080a00c;
0ae1 : 04fe3d0d;
0ae2 : 7575ff19;
0ae3 : 53535370;
0ae4 : ff2e8d38;
0ae5 : 72727081;
0ae6 : 055434ff;
0ae7 : 1151f039;
0ae8 : 843d0d04;
0ae9 : fe3d0d75;
0aea : 75ff1953;
0aeb : 535370ff;
0aec : 2e8d3872;
0aed : 72708405;
0aee : 540cff11;
0aef : 51f03984;
0af0 : 3d0d04fe;
0af1 : 3d0d8880;
0af2 : 53805288;
0af3 : 800a51ff;
0af4 : b43f8280;
0af5 : 53805282;
0af6 : 800a51c8;
0af7 : 3f800b87;
0af8 : aa803484;
0af9 : 3d0d0480;
0afa : 3d0d8151;
0afb : f9a83f72;
0afc : 802e9038;
0afd : 8051fba9;
0afe : 3fc93f83;
0aff : c7c83351;
0b00 : fb9f3f81;
0b01 : 51f9b93f;
0b02 : 8051f9b4;
0b03 : 3f8051f9;
0b04 : 853f823d;
0b05 : 0d04fd3d;
0b06 : 0d755280;
0b07 : 5480ff72;
0b08 : 25883881;
0b09 : 0bff8013;
0b0a : 5354ffbf;
0b0b : 12517099;
0b0c : 268638e0;
0b0d : 1252b039;
0b0e : ff9f1251;
0b0f : 997127a7;
0b10 : 38d012e0;
0b11 : 13545170;
0b12 : 89268538;
0b13 : 72529839;
0b14 : 728f2685;
0b15 : 3872528f;
0b16 : 3971ba2e;
0b17 : 09810685;
0b18 : 389a5283;
0b19 : 39805273;
0b1a : 802e8538;
0b1b : 81801252;
0b1c : 7181ff06;
0b1d : 83c0800c;
0b1e : 853d0d04;
0b1f : 803d0d84;
0b20 : 98c05180;
0b21 : 71708105;
0b22 : 53347084;
0b23 : a0c02e09;
0b24 : 8106f038;
0b25 : 823d0d04;
0b26 : fe3d0d02;
0b27 : 97053351;
0b28 : fef43f83;
0b29 : c0800881;
0b2a : ff0683c7;
0b2b : cc085452;
0b2c : 8073249b;
0b2d : 3883c884;
0b2e : 08137283;
0b2f : c8880807;
0b30 : 53537173;
0b31 : 3483c7cc;
0b32 : 08810583;
0b33 : c7cc0c84;
0b34 : 3d0d04fb;
0b35 : 3d0d8056;
0b36 : 873dfc05;
0b37 : 54785379;
0b38 : 527751ff;
0b39 : b2d63f87;
0b3a : 3d0d04fe;
0b3b : 3d0d83c7;
0b3c : e8085274;
0b3d : 51ffb9da;
0b3e : 3f83c080;
0b3f : 088c3876;
0b40 : 53755283;
0b41 : c7e80851;
0b42 : ca3f843d;
0b43 : 0d04fe3d;
0b44 : 0d83c7e8;
0b45 : 08537552;
0b46 : 7451ffb4;
0b47 : 983f83c0;
0b48 : 80088d38;
0b49 : 77537652;
0b4a : 83c7e808;
0b4b : 51ffa43f;
0b4c : 843d0d04;
0b4d : 803d0d72;
0b4e : 842981ae;
0b4f : e4057008;
0b50 : 83c0800c;
0b51 : 51823d0d;
0b52 : 04803d0d;
0b53 : 72842981;
0b54 : af800570;
0b55 : 0883c080;
0b56 : 0c51823d;
0b57 : 0d04f63d;
0b58 : 0d7c81b1;
0b59 : 8c5255ff;
0b5a : b8da3f90;
0b5b : 8090085a;
0b5c : 9080bc08;
0b5d : 5b79587a;
0b5e : 5974842e;
0b5f : 09810680;
0b60 : c03883c7;
0b61 : d0335574;
0b62 : 802e80e3;
0b63 : 3883c7ec;
0b64 : 085381a8;
0b65 : e85283c0;
0b66 : 800851ff;
0b67 : b3973f83;
0b68 : c0800880;
0b69 : ca3883c0;
0b6a : 8008578c;
0b6b : 3dec1155;
0b6c : 558853f8;
0b6d : 155283c7;
0b6e : ec0851ff;
0b6f : b0fe3f97;
0b70 : 398c3df8;
0b71 : 05538852;
0b72 : 7480c080;
0b73 : 2983c7f4;
0b74 : 08055180;
0b75 : c5923f77;
0b76 : 93f88083;
0b77 : 067aec87;
0b78 : fffc0607;
0b79 : 705b9080;
0b7a : 900c7a90;
0b7b : 80bc0c8c;
0b7c : 3d0d04f7;
0b7d : 3d0d7b81;
0b7e : b18c5256;
0b7f : ffb7c53f;
0b80 : 90809008;
0b81 : 599080bc;
0b82 : 085a7584;
0b83 : 2e098106;
0b84 : 80d53883;
0b85 : c7d03355;
0b86 : 74802e82;
0b87 : a83883c7;
0b88 : ec085381;
0b89 : a8e85283;
0b8a : c0800851;
0b8b : ffb2863f;
0b8c : 83c08008;
0b8d : 5583c080;
0b8e : 08828a38;
0b8f : 83c08008;
0b90 : 5283c7ec;
0b91 : 0851ffb0;
0b92 : a73f7458;
0b93 : 8b3df411;
0b94 : 55558853;
0b95 : f8155283;
... This diff was truncated because it exceeds the maximum size that can be displayed.

Also available in: Unified diff