Revision 457
Added by markw about 9 years ago
eclaireXL/hardware/test/test_common/pll/pll_0002.qip | ||
---|---|---|
set_instance_assignment -name PLL_COMPENSATION_MODE NORMAL -to "*pll_0002*|altera_pll:altera_pll_i*|*"
|
||
|
||
set_instance_assignment -name PLL_AUTO_RESET ON -to "*pll_0002*|altera_pll:altera_pll_i*|*"
|
||
set_instance_assignment -name PLL_BANDWIDTH_PRESET AUTO -to "*pll_0002*|altera_pll:altera_pll_i*|*"
|
eclaireXL/hardware/test/test_common/pll/pll_0002.v | ||
---|---|---|
`timescale 1ns/10ps
|
||
module pll_0002(
|
||
|
||
// interface 'refclk'
|
||
input wire refclk,
|
||
|
||
// interface 'reset'
|
||
input wire rst,
|
||
|
||
// interface 'outclk0'
|
||
output wire outclk_0,
|
||
|
||
// interface 'outclk1'
|
||
output wire outclk_1,
|
||
|
||
// interface 'outclk2'
|
||
output wire outclk_2,
|
||
|
||
// interface 'outclk3'
|
||
output wire outclk_3,
|
||
|
||
// interface 'locked'
|
||
output wire locked
|
||
);
|
||
|
||
altera_pll #(
|
||
.fractional_vco_multiplier("false"),
|
||
.reference_clock_frequency("5.0 MHz"),
|
||
.operation_mode("normal"),
|
||
.number_of_clocks(4),
|
||
.output_clock_frequency0("113.500000 MHz"),
|
||
.phase_shift0("0 ps"),
|
||
.duty_cycle0(50),
|
||
.output_clock_frequency1("56.750000 MHz"),
|
||
.phase_shift1("0 ps"),
|
||
.duty_cycle1(50),
|
||
.output_clock_frequency2("113.500000 MHz"),
|
||
.phase_shift2("4405 ps"),
|
||
.duty_cycle2(50),
|
||
.output_clock_frequency3("28.375 MHz"),
|
||
.phase_shift3("0 ps"),
|
||
.duty_cycle3(50),
|
||
.output_clock_frequency4("0 MHz"),
|
||
.phase_shift4("0 ps"),
|
||
.duty_cycle4(50),
|
||
.output_clock_frequency5("0 MHz"),
|
||
.phase_shift5("0 ps"),
|
||
.duty_cycle5(50),
|
||
.output_clock_frequency6("0 MHz"),
|
||
.phase_shift6("0 ps"),
|
||
.duty_cycle6(50),
|
||
.output_clock_frequency7("0 MHz"),
|
||
.phase_shift7("0 ps"),
|
||
.duty_cycle7(50),
|
||
.output_clock_frequency8("0 MHz"),
|
||
.phase_shift8("0 ps"),
|
||
.duty_cycle8(50),
|
||
.output_clock_frequency9("0 MHz"),
|
||
.phase_shift9("0 ps"),
|
||
.duty_cycle9(50),
|
||
.output_clock_frequency10("0 MHz"),
|
||
.phase_shift10("0 ps"),
|
||
.duty_cycle10(50),
|
||
.output_clock_frequency11("0 MHz"),
|
||
.phase_shift11("0 ps"),
|
||
.duty_cycle11(50),
|
||
.output_clock_frequency12("0 MHz"),
|
||
.phase_shift12("0 ps"),
|
||
.duty_cycle12(50),
|
||
.output_clock_frequency13("0 MHz"),
|
||
.phase_shift13("0 ps"),
|
||
.duty_cycle13(50),
|
||
.output_clock_frequency14("0 MHz"),
|
||
.phase_shift14("0 ps"),
|
||
.duty_cycle14(50),
|
||
.output_clock_frequency15("0 MHz"),
|
||
.phase_shift15("0 ps"),
|
||
.duty_cycle15(50),
|
||
.output_clock_frequency16("0 MHz"),
|
||
.phase_shift16("0 ps"),
|
||
.duty_cycle16(50),
|
||
.output_clock_frequency17("0 MHz"),
|
||
.phase_shift17("0 ps"),
|
||
.duty_cycle17(50),
|
||
.pll_type("General"),
|
||
.pll_subtype("General")
|
||
) altera_pll_i (
|
||
.rst (rst),
|
||
.outclk ({outclk_3, outclk_2, outclk_1, outclk_0}),
|
||
.locked (locked),
|
||
.fboutclk ( ),
|
||
.fbclk (1'b0),
|
||
.refclk (refclk)
|
||
);
|
||
endmodule
|
||
|
eclaireXL/hardware/test/test_common/pll.bsf | ||
---|---|---|
/*
|
||
WARNING: Do NOT edit the input and output ports in this file in a text
|
||
editor if you plan to continue editing the block that represents it in
|
||
the Block Editor! File corruption is VERY likely to occur.
|
||
*/
|
||
/*
|
||
Copyright (C) 1991-2015 Altera Corporation. All rights reserved.
|
||
Your use of Altera Corporation's design tools, logic functions
|
||
and other software and tools, and its AMPP partner logic
|
||
functions, and any output files from any of the foregoing
|
||
(including device programming or simulation files), and any
|
||
associated documentation or information are expressly subject
|
||
to the terms and conditions of the Altera Program License
|
||
Subscription Agreement, the Altera Quartus II License Agreement,
|
||
the Altera MegaCore Function License Agreement, or other
|
||
applicable license agreement, including, without limitation,
|
||
that your use is for the sole purpose of programming logic
|
||
devices manufactured by Altera and sold by Altera or its
|
||
authorized distributors. Please refer to the applicable
|
||
agreement for further details.
|
||
*/
|
||
(header "symbol" (version "1.1"))
|
||
(symbol
|
||
(rect 0 0 160 264)
|
||
(text "pll" (rect 74 -1 81 11)(font "Arial" (font_size 10)))
|
||
(text "inst" (rect 8 248 20 260)(font "Arial" ))
|
||
(port
|
||
(pt 0 72)
|
||
(input)
|
||
(text "refclk" (rect 0 0 22 12)(font "Arial" (font_size 8)))
|
||
(text "refclk" (rect 4 61 40 72)(font "Arial" (font_size 8)))
|
||
(line (pt 0 72)(pt 48 72)(line_width 1))
|
||
)
|
||
(port
|
||
(pt 0 112)
|
||
(input)
|
||
(text "rst" (rect 0 0 10 12)(font "Arial" (font_size 8)))
|
||
(text "rst" (rect 4 101 22 112)(font "Arial" (font_size 8)))
|
||
(line (pt 0 112)(pt 48 112)(line_width 1))
|
||
)
|
||
(port
|
||
(pt 160 72)
|
||
(output)
|
||
(text "outclk_0" (rect 0 0 33 12)(font "Arial" (font_size 8)))
|
||
(text "outclk_0" (rect 117 61 165 72)(font "Arial" (font_size 8)))
|
||
(line (pt 160 72)(pt 112 72)(line_width 1))
|
||
)
|
||
(port
|
||
(pt 160 112)
|
||
(output)
|
||
(text "outclk_1" (rect 0 0 31 12)(font "Arial" (font_size 8)))
|
||
(text "outclk_1" (rect 119 101 167 112)(font "Arial" (font_size 8)))
|
||
(line (pt 160 112)(pt 112 112)(line_width 1))
|
||
)
|
||
(port
|
||
(pt 160 152)
|
||
(output)
|
||
(text "outclk_2" (rect 0 0 33 12)(font "Arial" (font_size 8)))
|
||
(text "outclk_2" (rect 117 141 165 152)(font "Arial" (font_size 8)))
|
||
(line (pt 160 152)(pt 112 152)(line_width 1))
|
||
)
|
||
(port
|
||
(pt 160 192)
|
||
(output)
|
||
(text "outclk_3" (rect 0 0 33 12)(font "Arial" (font_size 8)))
|
||
(text "outclk_3" (rect 117 181 165 192)(font "Arial" (font_size 8)))
|
||
(line (pt 160 192)(pt 112 192)(line_width 1))
|
||
)
|
||
(port
|
||
(pt 160 232)
|
||
(output)
|
||
(text "locked" (rect 0 0 24 12)(font "Arial" (font_size 8)))
|
||
(text "locked" (rect 127 221 163 232)(font "Arial" (font_size 8)))
|
||
(line (pt 160 232)(pt 112 232)(line_width 1))
|
||
)
|
||
(drawing
|
||
(text "refclk" (rect 16 43 68 99)(font "Arial" (color 128 0 0)(font_size 9)))
|
||
(text "clk" (rect 53 67 124 144)(font "Arial" (color 0 0 0)))
|
||
(text "reset" (rect 19 83 68 179)(font "Arial" (color 128 0 0)(font_size 9)))
|
||
(text "reset" (rect 53 107 136 224)(font "Arial" (color 0 0 0)))
|
||
(text "outclk0" (rect 113 43 268 99)(font "Arial" (color 128 0 0)(font_size 9)))
|
||
(text "clk" (rect 97 67 212 144)(font "Arial" (color 0 0 0)))
|
||
(text "outclk1" (rect 113 83 268 179)(font "Arial" (color 128 0 0)(font_size 9)))
|
||
(text "clk" (rect 97 107 212 224)(font "Arial" (color 0 0 0)))
|
||
(text "outclk2" (rect 113 123 268 259)(font "Arial" (color 128 0 0)(font_size 9)))
|
||
(text "clk" (rect 97 147 212 304)(font "Arial" (color 0 0 0)))
|
||
(text "outclk3" (rect 113 163 268 339)(font "Arial" (color 128 0 0)(font_size 9)))
|
||
(text "clk" (rect 97 187 212 384)(font "Arial" (color 0 0 0)))
|
||
(text "locked" (rect 113 203 262 419)(font "Arial" (color 128 0 0)(font_size 9)))
|
||
(text "export" (rect 82 227 200 464)(font "Arial" (color 0 0 0)))
|
||
(text " altera_pll " (rect 118 248 308 506)(font "Arial" ))
|
||
(line (pt 48 32)(pt 112 32)(line_width 1))
|
||
(line (pt 112 32)(pt 112 248)(line_width 1))
|
||
(line (pt 48 248)(pt 112 248)(line_width 1))
|
||
(line (pt 48 32)(pt 48 248)(line_width 1))
|
||
(line (pt 49 52)(pt 49 76)(line_width 1))
|
||
(line (pt 50 52)(pt 50 76)(line_width 1))
|
||
(line (pt 49 92)(pt 49 116)(line_width 1))
|
||
(line (pt 50 92)(pt 50 116)(line_width 1))
|
||
(line (pt 111 52)(pt 111 76)(line_width 1))
|
||
(line (pt 110 52)(pt 110 76)(line_width 1))
|
||
(line (pt 111 92)(pt 111 116)(line_width 1))
|
||
(line (pt 110 92)(pt 110 116)(line_width 1))
|
||
(line (pt 111 132)(pt 111 156)(line_width 1))
|
||
(line (pt 110 132)(pt 110 156)(line_width 1))
|
||
(line (pt 111 172)(pt 111 196)(line_width 1))
|
||
(line (pt 110 172)(pt 110 196)(line_width 1))
|
||
(line (pt 111 212)(pt 111 236)(line_width 1))
|
||
(line (pt 110 212)(pt 110 236)(line_width 1))
|
||
(line (pt 0 0)(pt 160 0)(line_width 1))
|
||
(line (pt 160 0)(pt 160 264)(line_width 1))
|
||
(line (pt 0 264)(pt 160 264)(line_width 1))
|
||
(line (pt 0 0)(pt 0 264)(line_width 1))
|
||
)
|
||
)
|
eclaireXL/hardware/test/test_common/pll.cmp | ||
---|---|---|
component pll is
|
||
port (
|
||
refclk : in std_logic := 'X'; -- clk
|
||
rst : in std_logic := 'X'; -- reset
|
||
outclk_0 : out std_logic; -- clk
|
||
outclk_1 : out std_logic; -- clk
|
||
outclk_2 : out std_logic; -- clk
|
||
outclk_3 : out std_logic; -- clk
|
||
locked : out std_logic -- export
|
||
);
|
||
end component pll;
|
||
|
eclaireXL/hardware/test/test_common/pll.ppf | ||
---|---|---|
<?xml version="1.0" encoding="UTF-8"?>
|
||
<pinplan
|
||
variation_name="pll"
|
||
megafunction_name="ALTERA_PLL"
|
||
intended_family="Cyclone V"
|
||
specifies="all_ports">
|
||
<global>
|
||
<pin name="refclk" direction="input" scope="external" />
|
||
<pin name="rst" direction="input" scope="external" />
|
||
<pin name="outclk_0" direction="output" scope="external" />
|
||
<pin name="outclk_1" direction="output" scope="external" />
|
||
<pin name="outclk_2" direction="output" scope="external" />
|
||
<pin name="outclk_3" direction="output" scope="external" />
|
||
<pin name="locked" direction="output" scope="external" />
|
||
</global>
|
||
</pinplan>
|
eclaireXL/hardware/test/test_common/pll.qip | ||
---|---|---|
set_global_assignment -entity "pll" -library "pll" -name IP_TOOL_NAME "altera_pll"
|
||
set_global_assignment -entity "pll" -library "pll" -name IP_TOOL_VERSION "15.0"
|
||
set_global_assignment -entity "pll" -library "pll" -name IP_TOOL_ENV "mwpim"
|
||
set_global_assignment -library "pll" -name MISC_FILE [file join $::quartus(qip_path) "pll.cmp"]
|
||
set_global_assignment -entity "pll" -library "pll" -name IP_TARGETED_DEVICE_FAMILY "Cyclone V"
|
||
set_global_assignment -entity "pll" -library "pll" -name IP_GENERATED_DEVICE_FAMILY "{Cyclone V}"
|
||
set_global_assignment -entity "pll" -library "pll" -name IP_QSYS_MODE "UNKNOWN"
|
||
set_global_assignment -name SYNTHESIS_ONLY_QIP ON
|
||
set_global_assignment -entity "pll" -library "pll" -name IP_COMPONENT_NAME "cGxs"
|
||
set_global_assignment -entity "pll" -library "pll" -name IP_COMPONENT_DISPLAY_NAME "QWx0ZXJhIFBMTA=="
|
||
set_global_assignment -entity "pll" -library "pll" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
|
||
set_global_assignment -entity "pll" -library "pll" -name IP_COMPONENT_INTERNAL "Off"
|
||
set_global_assignment -entity "pll" -library "pll" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
|
||
set_global_assignment -entity "pll" -library "pll" -name IP_COMPONENT_VERSION "MTUuMA=="
|
||
set_global_assignment -entity "pll" -library "pll" -name IP_COMPONENT_DESCRIPTION "QWx0ZXJhIFBoYXNlLUxvY2tlZCBMb29wIChBTFRFUkFfUExMKQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_NAME "cGxsXzAwMDI="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_DISPLAY_NAME "QWx0ZXJhIFBMTA=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_INTERNAL "Off"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_VERSION "MTUuMA=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_DESCRIPTION "QWx0ZXJhIFBoYXNlLUxvY2tlZCBMb29wIChBTFRFUkFfUExMKQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZGVidWdfcHJpbnRfb3V0cHV0::ZmFsc2U=::ZGVidWdfcHJpbnRfb3V0cHV0"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZGVidWdfdXNlX3JiY190YWZfbWV0aG9k::ZmFsc2U=::ZGVidWdfdXNlX3JiY190YWZfbWV0aG9k"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZGV2aWNl::VW5rbm93bg==::ZGV2aWNl"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RldmljZV9zcGVlZF9ncmFkZQ==::Mg==::RGV2aWNlIFNwZWVkIEdyYWRl"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BsbF9tb2Rl::SW50ZWdlci1OIFBMTA==::UExMIE1vZGU="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZnJhY3Rpb25hbF92Y29fbXVsdGlwbGllcg==::ZmFsc2U=::ZnJhY3Rpb25hbF92Y29fbXVsdGlwbGllcg=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3JlZmVyZW5jZV9jbG9ja19mcmVxdWVuY3k=::NS4w::UmVmZXJlbmNlIENsb2NrIEZyZXF1ZW5jeQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cmVmZXJlbmNlX2Nsb2NrX2ZyZXF1ZW5jeQ==::NS4wIE1Ieg==::cmVmZXJlbmNlX2Nsb2NrX2ZyZXF1ZW5jeQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2NoYW5uZWxfc3BhY2luZw==::MC4w::Q2hhbm5lbCBTcGFjaW5n"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX29wZXJhdGlvbl9tb2Rl::bm9ybWFs::T3BlcmF0aW9uIE1vZGU="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2ZlZWRiYWNrX2Nsb2Nr::R2xvYmFsIENsb2Nr::RmVlZGJhY2sgQ2xvY2s="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2ZyYWN0aW9uYWxfY291dA==::MzI=::RnJhY3Rpb25hbCBjYXJyeSBvdXQ="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RzbV9vdXRfc2Vs::MXN0X29yZGVy::RFNNIE9yZGVy"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3BlcmF0aW9uX21vZGU=::bm9ybWFs::b3BlcmF0aW9uX21vZGU="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3VzZV9sb2NrZWQ=::dHJ1ZQ==::RW5hYmxlIGxvY2tlZCBvdXRwdXQgcG9ydA=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2VuX2Fkdl9wYXJhbXM=::ZmFsc2U=::RW5hYmxlIHBoeXNpY2FsIG91dHB1dCBjbG9jayBwYXJhbWV0ZXJz"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX251bWJlcl9vZl9jbG9ja3M=::NA==::TnVtYmVyIE9mIENsb2Nrcw=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "bnVtYmVyX29mX2Nsb2Nrcw==::NA==::bnVtYmVyX29mX2Nsb2Nrcw=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX211bHRpcGx5X2ZhY3Rvcg==::MQ==::TXVsdGlwbHkgRmFjdG9yIChNLUNvdW50ZXIp"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2ZyYWNfbXVsdGlwbHlfZmFjdG9y::MQ==::RnJhY3Rpb25hbCBNdWx0aXBseSBGYWN0b3IgKEsp"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3Jfbg==::MQ==::RGl2aWRlIEZhY3RvciAoTi1Db3VudGVyKQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjA=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kw::MTEzLjU=::RGVzaXJlZCBGcmVxdWVuY3k="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzA=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3Iw::MjI3::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjA=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMA==::MTA=::QWN0dWFsIERpdmlkZSBGYWN0b3I="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MA==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMA==::ZGVncmVlcw==::UGhhc2UgU2hpZnQgdW5pdHM="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MA==::MTgw::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzA=::MC4w::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDA=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUw::NTA=::RHV0eSBDeWNsZQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kx::NTYuNzU=::RGVzaXJlZCBGcmVxdWVuY3k="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3Ix::MjI3::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMQ==::MjA=::QWN0dWFsIERpdmlkZSBGYWN0b3I="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MQ==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMQ==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MQ==::MA==::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE=::MC4w::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUx::NTA=::RHV0eSBDeWNsZQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjI=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3ky::MTEzLjU=::RGVzaXJlZCBGcmVxdWVuY3k="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzI=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3Iy::MjI3::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjI=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMg==::MTA=::QWN0dWFsIERpdmlkZSBGYWN0b3I="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5Mg==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMg==::ZGVncmVlcw==::UGhhc2UgU2hpZnQgdW5pdHM="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0Mg==::MA==::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzI=::MTgwLjA=::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDI=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUy::NTA=::RHV0eSBDeWNsZQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjM=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kz::MjguMzc1::RGVzaXJlZCBGcmVxdWVuY3k="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzM=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3Iz::MjI3::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjM=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMw==::NDA=::QWN0dWFsIERpdmlkZSBGYWN0b3I="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5Mw==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMw==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0Mw==::MA==::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzM=::MC4w::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDM=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUz::NTA=::RHV0eSBDeWNsZQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjQ=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k0::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzQ=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I0::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjQ=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yNA==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5NA==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzNA==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0NA==::MA==::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzQ=::MC4w::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDQ=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU0::NTA=::RHV0eSBDeWNsZQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjU=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k1::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzU=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I1::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjU=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yNQ==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5NQ==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzNQ==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0NQ==::MA==::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzU=::MC4w::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDU=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU1::NTA=::RHV0eSBDeWNsZQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjY=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k2::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzY=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I2::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjY=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yNg==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5Ng==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzNg==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0Ng==::MA==::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzY=::MC4w::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDY=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU2::NTA=::RHV0eSBDeWNsZQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjc=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k3::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzc=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I3::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3Rvcjc=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yNw==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5Nw==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzNw==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0Nw==::MA==::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzc=::MC4w::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDc=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU3::NTA=::RHV0eSBDeWNsZQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjg=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k4::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzg=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I4::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3Rvcjg=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yOA==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5OA==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzOA==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0OA==::MA==::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzg=::MC4w::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDg=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU4::NTA=::RHV0eSBDeWNsZQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjk=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k5::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzk=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I5::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3Rvcjk=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yOQ==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5OQ==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzOQ==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0OQ==::MA==::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzk=::MC4w::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDk=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU5::NTA=::RHV0eSBDeWNsZQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjEw::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxMA==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzEw::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxMA==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjEw::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTA=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTA=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTA=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTA=::MA==::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzEw::MC4w::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDEw::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxMA==::NTA=::RHV0eSBDeWNsZQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjEx::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxMQ==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzEx::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxMQ==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjEx::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTE=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTE=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTE=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTE=::MA==::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzEx::MC4w::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDEx::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxMQ==::NTA=::RHV0eSBDeWNsZQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjEy::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxMg==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzEy::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxMg==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjEy::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTI=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTI=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTI=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTI=::MA==::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzEy::MC4w::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDEy::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxMg==::NTA=::RHV0eSBDeWNsZQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjEz::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxMw==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzEz::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxMw==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjEz::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTM=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTM=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTM=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTM=::MA==::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzEz::MC4w::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDEz::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxMw==::NTA=::RHV0eSBDeWNsZQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE0::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxNA==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE0::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxNA==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE0::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTQ=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTQ=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTQ=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTQ=::MA==::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE0::MC4w::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE0::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxNA==::NTA=::RHV0eSBDeWNsZQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE1::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxNQ==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE1::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxNQ==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE1::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTU=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTU=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTU=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTU=::MA==::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE1::MC4w::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE1::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxNQ==::NTA=::RHV0eSBDeWNsZQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE2::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxNg==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE2::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxNg==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE2::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTY=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTY=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTY=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTY=::MA==::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE2::MC4w::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE2::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxNg==::NTA=::RHV0eSBDeWNsZQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE3::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxNw==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE3::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxNw==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE3::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTc=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTc=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTc=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTc=::MA==::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE3::MC4w::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE3::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxNw==::NTA=::RHV0eSBDeWNsZQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTA=::MTEzLjUwMDAwMCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTA="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQw::MCBwcw==::cGhhc2Vfc2hpZnQw"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTA=::NTA=::ZHV0eV9jeWNsZTA="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE=::NTYuNzUwMDAwIE1Ieg==::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQx::MCBwcw==::cGhhc2Vfc2hpZnQx"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE=::NTA=::ZHV0eV9jeWNsZTE="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTI=::MTEzLjUwMDAwMCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTI="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQy::NDQwNSBwcw==::cGhhc2Vfc2hpZnQy"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTI=::NTA=::ZHV0eV9jeWNsZTI="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTM=::MjguMzc1MDAwIE1Ieg==::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTM="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQz::MCBwcw==::cGhhc2Vfc2hpZnQz"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTM=::NTA=::ZHV0eV9jeWNsZTM="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTQ=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTQ="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ0::MCBwcw==::cGhhc2Vfc2hpZnQ0"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTQ=::NTA=::ZHV0eV9jeWNsZTQ="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTU=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTU="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ1::MCBwcw==::cGhhc2Vfc2hpZnQ1"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTU=::NTA=::ZHV0eV9jeWNsZTU="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTY=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTY="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ2::MCBwcw==::cGhhc2Vfc2hpZnQ2"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTY=::NTA=::ZHV0eV9jeWNsZTY="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTc=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTc="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ3::MCBwcw==::cGhhc2Vfc2hpZnQ3"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTc=::NTA=::ZHV0eV9jeWNsZTc="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTg=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTg="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ4::MCBwcw==::cGhhc2Vfc2hpZnQ4"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTg=::NTA=::ZHV0eV9jeWNsZTg="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTk=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTk="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ5::MCBwcw==::cGhhc2Vfc2hpZnQ5"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTk=::NTA=::ZHV0eV9jeWNsZTk="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEw::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEw"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxMA==::MCBwcw==::cGhhc2Vfc2hpZnQxMA=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTEw::NTA=::ZHV0eV9jeWNsZTEw"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEx::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEx"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxMQ==::MCBwcw==::cGhhc2Vfc2hpZnQxMQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTEx::NTA=::ZHV0eV9jeWNsZTEx"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEy::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEy"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxMg==::MCBwcw==::cGhhc2Vfc2hpZnQxMg=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTEy::NTA=::ZHV0eV9jeWNsZTEy"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEz::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEz"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxMw==::MCBwcw==::cGhhc2Vfc2hpZnQxMw=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTEz::NTA=::ZHV0eV9jeWNsZTEz"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE0::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE0"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxNA==::MCBwcw==::cGhhc2Vfc2hpZnQxNA=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE0::NTA=::ZHV0eV9jeWNsZTE0"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE1::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE1"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxNQ==::MCBwcw==::cGhhc2Vfc2hpZnQxNQ=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE1::NTA=::ZHV0eV9jeWNsZTE1"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE2::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE2"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxNg==::MCBwcw==::cGhhc2Vfc2hpZnQxNg=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE2::NTA=::ZHV0eV9jeWNsZTE2"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE3::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE3"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxNw==::MCBwcw==::cGhhc2Vfc2hpZnQxNw=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE3::NTA=::ZHV0eV9jeWNsZTE3"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BsbF9hdXRvX3Jlc2V0::T24=::UExMIEF1dG8gUmVzZXQ="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BsbF9iYW5kd2lkdGhfcHJlc2V0::QXV0bw==::UExMIEJhbmR3aWR0aCBQcmVzZXQ="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2VuX3JlY29uZg==::ZmFsc2U=::RW5hYmxlIGR5bmFtaWMgcmVjb25maWd1cmF0aW9uIG9mIFBMTA=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2VuX2Rwc19wb3J0cw==::ZmFsc2U=::RW5hYmxlIGFjY2VzcyB0byBkeW5hbWljIHBoYXNlIHNoaWZ0IHBvcnRz"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2VuX3Bob3V0X3BvcnRz::ZmFsc2U=::RW5hYmxlIGFjY2VzcyB0byBQTEwgRFBBIG91dHB1dCBwb3J0"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGxsX3R5cGU=::R2VuZXJhbA==::UExMIFRZUEU="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGxsX3N1YnR5cGU=::R2VuZXJhbA==::UExMIFNVQlRZUEU="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BhcmFtZXRlcl9saXN0::TS1Db3VudGVyIEhpIERpdmlkZSxNLUNvdW50ZXIgTG93IERpdmlkZSxOLUNvdW50ZXIgSGkgRGl2aWRlLE4tQ291bnRlciBMb3cgRGl2aWRlLE0tQ291bnRlciBCeXBhc3MgRW5hYmxlLE4tQ291bnRlciBCeXBhc3MgRW5hYmxlLE0tQ291bnRlciBPZGQgRGl2aWRlIEVuYWJsZSxOLUNvdW50ZXIgT2RkIERpdmlkZSBFbmFibGUsQy1Db3VudGVyLTAgSGkgRGl2aWRlLEMtQ291bnRlci0wIExvdyBEaXZpZGUsQy1Db3VudGVyLTAgQ29hcnNlIFBoYXNlIFNoaWZ0LEMtQ291bnRlci0wIFZDTyBQaGFzZSBUYXAsQy1Db3VudGVyLTAgSW5wdXQgU291cmNlLEMtQ291bnRlci0wIEJ5cGFzcyBFbmFibGUsQy1Db3VudGVyLTAgT2RkIERpdmlkZSBFbmFibGUsQy1Db3VudGVyLTEgSGkgRGl2aWRlLEMtQ291bnRlci0xIExvdyBEaXZpZGUsQy1Db3VudGVyLTEgQ29hcnNlIFBoYXNlIFNoaWZ0LEMtQ291bnRlci0xIFZDTyBQaGFzZSBUYXAsQy1Db3VudGVyLTEgSW5wdXQgU291cmNlLEMtQ291bnRlci0xIEJ5cGFzcyBFbmFibGUsQy1Db3VudGVyLTEgT2RkIERpdmlkZSBFbmFibGUsQy1Db3VudGVyLTIgSGkgRGl2aWRlLEMtQ291bnRlci0yIExvdyBEaXZpZGUsQy1Db3VudGVyLTIgQ29hcnNlIFBoYXNlIFNoaWZ0LEMtQ291bnRlci0yIFZDTyBQaGFzZSBUYXAsQy1Db3VudGVyLTIgSW5wdXQgU291cmNlLEMtQ291bnRlci0yIEJ5cGFzcyBFbmFibGUsQy1Db3VudGVyLTIgT2RkIERpdmlkZSBFbmFibGUsQy1Db3VudGVyLTMgSGkgRGl2aWRlLEMtQ291bnRlci0zIExvdyBEaXZpZGUsQy1Db3VudGVyLTMgQ29hcnNlIFBoYXNlIFNoaWZ0LEMtQ291bnRlci0zIFZDTyBQaGFzZSBUYXAsQy1Db3VudGVyLTMgSW5wdXQgU291cmNlLEMtQ291bnRlci0zIEJ5cGFzcyBFbmFibGUsQy1Db3VudGVyLTMgT2RkIERpdmlkZSBFbmFibGUsVkNPIFBvc3QgRGl2aWRlIENvdW50ZXIgRW5hYmxlLENoYXJnZSBQdW1wIGN1cnJlbnQgKHVBKSxMb29wIEZpbHRlciBCYW5kd2lkdGggUmVzaXN0b3IgKE9obXMpICxQTEwgT3V0cHV0IFZDTyBGcmVxdWVuY3ksSy1GcmFjdGlvbmFsIERpdmlzaW9uIFZhbHVlIChEU00pLEZlZWRiYWNrIENsb2NrIFR5cGUsRmVlZGJhY2sgQ2xvY2sgTVVYIDEsRmVlZGJhY2sgQ2xvY2sgTVVYIDIsTSBDb3VudGVyIFNvdXJjZSBNVVgsUExMIEF1dG8gUmVzZXQ=::UGFyYW1ldGVyIE5hbWVz"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BhcmFtZXRlcl92YWx1ZXM=::MTE0LDExMywyNTYsMjU2LGZhbHNlLHRydWUsdHJ1ZSxmYWxzZSw1LDUsMSwwLHBoX211eF9jbGssZmFsc2UsZmFsc2UsMTAsMTAsMSwwLHBoX211eF9jbGssZmFsc2UsZmFsc2UsNSw1LDYsMCxwaF9tdXhfY2xrLGZhbHNlLGZhbHNlLDIwLDIwLDEsMCxwaF9tdXhfY2xrLGZhbHNlLGZhbHNlLDEsMjAsMTQwMDAsMTEzNS4wIE1IeiwxLGdjbGssZ2xiLGZiXzEscGhfbXV4X2Nsayx0cnVl::UGFyYW1ldGVyIFZhbHVlcw=="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX21pZl9nZW5lcmF0ZQ==::ZmFsc2U=::R2VuZXJhdGUgTUlGIGZpbGU="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9taWZfZHBz::ZmFsc2U=::RW5hYmxlIER5bmFtaWMgUGhhc2UgU2hpZnQgZm9yIE1JRiBzdHJlYW1pbmc="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Rwc19jbnRy::QzA=::RFBTIENvdW50ZXIgU2VsZWN0aW9u"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Rwc19udW0=::MQ==::TnVtYmVyIG9mIER5bmFtaWMgUGhhc2UgU2hpZnRz"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Rwc19kaXI=::UG9zaXRpdmU=::RHluYW1pYyBQaGFzZSBTaGlmdCBEaXJlY3Rpb24="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3JlZmNsa19zd2l0Y2g=::ZmFsc2U=::Q3JlYXRlIGEgc2Vjb25kIGlucHV0IGNsayAncmVmY2xrMSc="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9jYXNjYWRlX291dA==::ZmFsc2U=::Q3JlYXRlIGEgJ2Nhc2NhZGVfb3V0JyBzaWduYWwgdG8gY29ubmVjdCB3aXRoIGEgZG93bnN0cmVhbSBQTEw="
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9jYXNjYWRlX2lu::ZmFsc2U=::Q3JlYXRlIGFuIGFkanBsbGluIG9yIGNjbGsgc2lnbmFsIHRvIGNvbm5lY3Qgd2l0aCBhbiB1cHN0cmVhbSBQTEw="
|
||
|
||
set_global_assignment -library "pll" -name VHDL_FILE [file join $::quartus(qip_path) "pll.vhd"]
|
||
set_global_assignment -library "pll" -name VERILOG_FILE [file join $::quartus(qip_path) "pll/pll_0002.v"]
|
||
set_global_assignment -library "pll" -name QIP_FILE [file join $::quartus(qip_path) "pll/pll_0002.qip"]
|
||
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_TOOL_NAME "altera_pll"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_TOOL_VERSION "15.0"
|
||
set_global_assignment -entity "pll_0002" -library "pll" -name IP_TOOL_ENV "mwpim"
|
eclaireXL/hardware/test/test_common/pll.sip | ||
---|---|---|
set_global_assignment -entity "pll" -library "lib_pll" -name IP_TOOL_NAME "altera_pll"
|
||
set_global_assignment -entity "pll" -library "lib_pll" -name IP_TOOL_VERSION "15.0"
|
||
set_global_assignment -entity "pll" -library "lib_pll" -name IP_TOOL_ENV "mwpim"
|
||
set_global_assignment -library "lib_pll" -name SPD_FILE [file join $::quartus(sip_path) "pll.spd"]
|
||
|
||
set_global_assignment -library "lib_pll" -name MISC_FILE [file join $::quartus(sip_path) "pll_sim/pll.vho"]
|
eclaireXL/hardware/test/test_common/pll.spd | ||
---|---|---|
<?xml version="1.0" encoding="UTF-8"?>
|
||
<simPackage>
|
||
<file path="pll_sim/pll.vho" type="VHDL" />
|
||
<topLevel name="pll" />
|
||
<deviceFamily name="cyclonev" />
|
||
</simPackage>
|
eclaireXL/hardware/test/test_common/pll.vhd | ||
---|---|---|
-- megafunction wizard: %Altera PLL v15.0%
|
||
-- GENERATION: XML
|
||
-- pll.vhd
|
||
|
||
-- Generated using ACDS version 15.0 145
|
||
|
||
library IEEE;
|
||
use IEEE.std_logic_1164.all;
|
||
use IEEE.numeric_std.all;
|
||
|
||
entity pll is
|
||
port (
|
||
refclk : in std_logic := '0'; -- refclk.clk
|
||
rst : in std_logic := '0'; -- reset.reset
|
||
outclk_0 : out std_logic; -- outclk0.clk
|
||
outclk_1 : out std_logic; -- outclk1.clk
|
||
outclk_2 : out std_logic; -- outclk2.clk
|
||
outclk_3 : out std_logic; -- outclk3.clk
|
||
locked : out std_logic -- locked.export
|
||
);
|
||
end entity pll;
|
||
|
||
architecture rtl of pll is
|
||
component pll_0002 is
|
||
port (
|
||
refclk : in std_logic := 'X'; -- clk
|
||
rst : in std_logic := 'X'; -- reset
|
||
outclk_0 : out std_logic; -- clk
|
||
outclk_1 : out std_logic; -- clk
|
||
outclk_2 : out std_logic; -- clk
|
||
outclk_3 : out std_logic; -- clk
|
||
locked : out std_logic -- export
|
||
);
|
||
end component pll_0002;
|
||
|
||
begin
|
||
|
||
pll_inst : component pll_0002
|
||
port map (
|
||
refclk => refclk, -- refclk.clk
|
||
rst => rst, -- reset.reset
|
||
outclk_0 => outclk_0, -- outclk0.clk
|
||
outclk_1 => outclk_1, -- outclk1.clk
|
||
outclk_2 => outclk_2, -- outclk2.clk
|
||
outclk_3 => outclk_3, -- outclk3.clk
|
||
locked => locked -- locked.export
|
||
);
|
||
|
||
end architecture rtl; -- of pll
|
||
-- Retrieval info: <?xml version="1.0"?>
|
||
--<!--
|
||
-- Generated by Altera MegaWizard Launcher Utility version 1.0
|
||
-- ************************************************************
|
||
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
|
||
-- ************************************************************
|
||
-- Copyright (C) 1991-2015 Altera Corporation
|
||
-- Any megafunction design, and related net list (encrypted or decrypted),
|
||
-- support information, device programming or simulation file, and any other
|
||
-- associated documentation or information provided by Altera or a partner
|
||
-- under Altera's Megafunction Partnership Program may be used only to
|
||
-- program PLD devices (but not masked PLD devices) from Altera. Any other
|
||
-- use of such megafunction design, net list, support information, device
|
||
-- programming or simulation file, or any other related documentation or
|
||
-- information is prohibited for any other purpose, including, but not
|
||
-- limited to modification, reverse engineering, de-compiling, or use with
|
||
-- any other silicon devices, unless such use is explicitly licensed under
|
||
-- a separate agreement with Altera or a megafunction partner. Title to
|
||
-- the intellectual property, including patents, copyrights, trademarks,
|
||
-- trade secrets, or maskworks, embodied in any such megafunction design,
|
||
-- net list, support information, device programming or simulation file, or
|
||
-- any other related documentation or information provided by Altera or a
|
||
-- megafunction partner, remains with Altera, the megafunction partner, or
|
||
-- their respective licensors. No other licenses, including any licenses
|
||
-- needed under any third party's intellectual property, are provided herein.
|
||
---->
|
||
-- Retrieval info: <instance entity-name="altera_pll" version="15.0" >
|
||
-- Retrieval info: <generic name="debug_print_output" value="false" />
|
||
-- Retrieval info: <generic name="debug_use_rbc_taf_method" value="false" />
|
||
-- Retrieval info: <generic name="device_family" value="Cyclone V" />
|
||
-- Retrieval info: <generic name="device" value="Unknown" />
|
||
-- Retrieval info: <generic name="gui_device_speed_grade" value="2" />
|
||
-- Retrieval info: <generic name="gui_pll_mode" value="Integer-N PLL" />
|
||
-- Retrieval info: <generic name="gui_reference_clock_frequency" value="5.0" />
|
||
-- Retrieval info: <generic name="gui_channel_spacing" value="0.0" />
|
||
-- Retrieval info: <generic name="gui_operation_mode" value="normal" />
|
||
-- Retrieval info: <generic name="gui_feedback_clock" value="Global Clock" />
|
||
-- Retrieval info: <generic name="gui_fractional_cout" value="32" />
|
||
-- Retrieval info: <generic name="gui_dsm_out_sel" value="1st_order" />
|
||
-- Retrieval info: <generic name="gui_use_locked" value="true" />
|
||
-- Retrieval info: <generic name="gui_en_adv_params" value="false" />
|
||
-- Retrieval info: <generic name="gui_number_of_clocks" value="4" />
|
||
-- Retrieval info: <generic name="gui_multiply_factor" value="1" />
|
||
-- Retrieval info: <generic name="gui_frac_multiply_factor" value="1" />
|
||
-- Retrieval info: <generic name="gui_divide_factor_n" value="1" />
|
||
-- Retrieval info: <generic name="gui_cascade_counter0" value="false" />
|
||
-- Retrieval info: <generic name="gui_output_clock_frequency0" value="113.5" />
|
||
-- Retrieval info: <generic name="gui_divide_factor_c0" value="1" />
|
||
-- Retrieval info: <generic name="gui_actual_output_clock_frequency0" value="0 MHz" />
|
||
-- Retrieval info: <generic name="gui_ps_units0" value="degrees" />
|
||
-- Retrieval info: <generic name="gui_phase_shift0" value="180" />
|
||
-- Retrieval info: <generic name="gui_phase_shift_deg0" value="0.0" />
|
||
-- Retrieval info: <generic name="gui_actual_phase_shift0" value="0" />
|
||
-- Retrieval info: <generic name="gui_duty_cycle0" value="50" />
|
||
-- Retrieval info: <generic name="gui_cascade_counter1" value="false" />
|
||
-- Retrieval info: <generic name="gui_output_clock_frequency1" value="56.75" />
|
||
-- Retrieval info: <generic name="gui_divide_factor_c1" value="1" />
|
||
-- Retrieval info: <generic name="gui_actual_output_clock_frequency1" value="0 MHz" />
|
||
-- Retrieval info: <generic name="gui_ps_units1" value="ps" />
|
||
-- Retrieval info: <generic name="gui_phase_shift1" value="0" />
|
||
-- Retrieval info: <generic name="gui_phase_shift_deg1" value="0.0" />
|
||
-- Retrieval info: <generic name="gui_actual_phase_shift1" value="0" />
|
||
-- Retrieval info: <generic name="gui_duty_cycle1" value="50" />
|
||
-- Retrieval info: <generic name="gui_cascade_counter2" value="false" />
|
||
-- Retrieval info: <generic name="gui_output_clock_frequency2" value="113.5" />
|
||
-- Retrieval info: <generic name="gui_divide_factor_c2" value="1" />
|
||
-- Retrieval info: <generic name="gui_actual_output_clock_frequency2" value="0 MHz" />
|
||
-- Retrieval info: <generic name="gui_ps_units2" value="degrees" />
|
||
-- Retrieval info: <generic name="gui_phase_shift2" value="0" />
|
||
-- Retrieval info: <generic name="gui_phase_shift_deg2" value="180.0" />
|
||
-- Retrieval info: <generic name="gui_actual_phase_shift2" value="0" />
|
||
-- Retrieval info: <generic name="gui_duty_cycle2" value="50" />
|
||
-- Retrieval info: <generic name="gui_cascade_counter3" value="false" />
|
||
-- Retrieval info: <generic name="gui_output_clock_frequency3" value="28.375" />
|
||
-- Retrieval info: <generic name="gui_divide_factor_c3" value="1" />
|
||
-- Retrieval info: <generic name="gui_actual_output_clock_frequency3" value="0 MHz" />
|
||
-- Retrieval info: <generic name="gui_ps_units3" value="ps" />
|
||
-- Retrieval info: <generic name="gui_phase_shift3" value="0" />
|
||
-- Retrieval info: <generic name="gui_phase_shift_deg3" value="0.0" />
|
||
-- Retrieval info: <generic name="gui_actual_phase_shift3" value="0" />
|
||
-- Retrieval info: <generic name="gui_duty_cycle3" value="50" />
|
||
-- Retrieval info: <generic name="gui_cascade_counter4" value="false" />
|
||
-- Retrieval info: <generic name="gui_output_clock_frequency4" value="100.0" />
|
||
-- Retrieval info: <generic name="gui_divide_factor_c4" value="1" />
|
||
-- Retrieval info: <generic name="gui_actual_output_clock_frequency4" value="0 MHz" />
|
||
-- Retrieval info: <generic name="gui_ps_units4" value="ps" />
|
||
-- Retrieval info: <generic name="gui_phase_shift4" value="0" />
|
||
-- Retrieval info: <generic name="gui_phase_shift_deg4" value="0.0" />
|
||
-- Retrieval info: <generic name="gui_actual_phase_shift4" value="0" />
|
||
-- Retrieval info: <generic name="gui_duty_cycle4" value="50" />
|
||
-- Retrieval info: <generic name="gui_cascade_counter5" value="false" />
|
||
-- Retrieval info: <generic name="gui_output_clock_frequency5" value="100.0" />
|
||
-- Retrieval info: <generic name="gui_divide_factor_c5" value="1" />
|
||
-- Retrieval info: <generic name="gui_actual_output_clock_frequency5" value="0 MHz" />
|
||
-- Retrieval info: <generic name="gui_ps_units5" value="ps" />
|
||
-- Retrieval info: <generic name="gui_phase_shift5" value="0" />
|
||
-- Retrieval info: <generic name="gui_phase_shift_deg5" value="0.0" />
|
||
-- Retrieval info: <generic name="gui_actual_phase_shift5" value="0" />
|
||
-- Retrieval info: <generic name="gui_duty_cycle5" value="50" />
|
||
-- Retrieval info: <generic name="gui_cascade_counter6" value="false" />
|
||
-- Retrieval info: <generic name="gui_output_clock_frequency6" value="100.0" />
|
||
-- Retrieval info: <generic name="gui_divide_factor_c6" value="1" />
|
||
-- Retrieval info: <generic name="gui_actual_output_clock_frequency6" value="0 MHz" />
|
||
-- Retrieval info: <generic name="gui_ps_units6" value="ps" />
|
||
-- Retrieval info: <generic name="gui_phase_shift6" value="0" />
|
||
-- Retrieval info: <generic name="gui_phase_shift_deg6" value="0.0" />
|
||
-- Retrieval info: <generic name="gui_actual_phase_shift6" value="0" />
|
||
-- Retrieval info: <generic name="gui_duty_cycle6" value="50" />
|
||
-- Retrieval info: <generic name="gui_cascade_counter7" value="false" />
|
||
-- Retrieval info: <generic name="gui_output_clock_frequency7" value="100.0" />
|
||
-- Retrieval info: <generic name="gui_divide_factor_c7" value="1" />
|
||
-- Retrieval info: <generic name="gui_actual_output_clock_frequency7" value="0 MHz" />
|
||
-- Retrieval info: <generic name="gui_ps_units7" value="ps" />
|
||
-- Retrieval info: <generic name="gui_phase_shift7" value="0" />
|
||
-- Retrieval info: <generic name="gui_phase_shift_deg7" value="0.0" />
|
||
-- Retrieval info: <generic name="gui_actual_phase_shift7" value="0" />
|
||
-- Retrieval info: <generic name="gui_duty_cycle7" value="50" />
|
||
-- Retrieval info: <generic name="gui_cascade_counter8" value="false" />
|
||
-- Retrieval info: <generic name="gui_output_clock_frequency8" value="100.0" />
|
||
-- Retrieval info: <generic name="gui_divide_factor_c8" value="1" />
|
||
-- Retrieval info: <generic name="gui_actual_output_clock_frequency8" value="0 MHz" />
|
||
-- Retrieval info: <generic name="gui_ps_units8" value="ps" />
|
||
-- Retrieval info: <generic name="gui_phase_shift8" value="0" />
|
||
-- Retrieval info: <generic name="gui_phase_shift_deg8" value="0.0" />
|
||
-- Retrieval info: <generic name="gui_actual_phase_shift8" value="0" />
|
||
-- Retrieval info: <generic name="gui_duty_cycle8" value="50" />
|
||
-- Retrieval info: <generic name="gui_cascade_counter9" value="false" />
|
||
-- Retrieval info: <generic name="gui_output_clock_frequency9" value="100.0" />
|
||
-- Retrieval info: <generic name="gui_divide_factor_c9" value="1" />
|
||
-- Retrieval info: <generic name="gui_actual_output_clock_frequency9" value="0 MHz" />
|
||
-- Retrieval info: <generic name="gui_ps_units9" value="ps" />
|
||
-- Retrieval info: <generic name="gui_phase_shift9" value="0" />
|
||
-- Retrieval info: <generic name="gui_phase_shift_deg9" value="0.0" />
|
||
-- Retrieval info: <generic name="gui_actual_phase_shift9" value="0" />
|
||
-- Retrieval info: <generic name="gui_duty_cycle9" value="50" />
|
||
-- Retrieval info: <generic name="gui_cascade_counter10" value="false" />
|
||
-- Retrieval info: <generic name="gui_output_clock_frequency10" value="100.0" />
|
||
-- Retrieval info: <generic name="gui_divide_factor_c10" value="1" />
|
||
-- Retrieval info: <generic name="gui_actual_output_clock_frequency10" value="0 MHz" />
|
||
-- Retrieval info: <generic name="gui_ps_units10" value="ps" />
|
||
-- Retrieval info: <generic name="gui_phase_shift10" value="0" />
|
||
-- Retrieval info: <generic name="gui_phase_shift_deg10" value="0.0" />
|
||
-- Retrieval info: <generic name="gui_actual_phase_shift10" value="0" />
|
||
-- Retrieval info: <generic name="gui_duty_cycle10" value="50" />
|
||
-- Retrieval info: <generic name="gui_cascade_counter11" value="false" />
|
||
-- Retrieval info: <generic name="gui_output_clock_frequency11" value="100.0" />
|
||
-- Retrieval info: <generic name="gui_divide_factor_c11" value="1" />
|
||
-- Retrieval info: <generic name="gui_actual_output_clock_frequency11" value="0 MHz" />
|
||
-- Retrieval info: <generic name="gui_ps_units11" value="ps" />
|
||
-- Retrieval info: <generic name="gui_phase_shift11" value="0" />
|
||
-- Retrieval info: <generic name="gui_phase_shift_deg11" value="0.0" />
|
||
-- Retrieval info: <generic name="gui_actual_phase_shift11" value="0" />
|
||
-- Retrieval info: <generic name="gui_duty_cycle11" value="50" />
|
||
-- Retrieval info: <generic name="gui_cascade_counter12" value="false" />
|
||
-- Retrieval info: <generic name="gui_output_clock_frequency12" value="100.0" />
|
||
-- Retrieval info: <generic name="gui_divide_factor_c12" value="1" />
|
||
-- Retrieval info: <generic name="gui_actual_output_clock_frequency12" value="0 MHz" />
|
||
-- Retrieval info: <generic name="gui_ps_units12" value="ps" />
|
||
-- Retrieval info: <generic name="gui_phase_shift12" value="0" />
|
||
-- Retrieval info: <generic name="gui_phase_shift_deg12" value="0.0" />
|
||
-- Retrieval info: <generic name="gui_actual_phase_shift12" value="0" />
|
||
-- Retrieval info: <generic name="gui_duty_cycle12" value="50" />
|
||
-- Retrieval info: <generic name="gui_cascade_counter13" value="false" />
|
||
-- Retrieval info: <generic name="gui_output_clock_frequency13" value="100.0" />
|
||
-- Retrieval info: <generic name="gui_divide_factor_c13" value="1" />
|
||
-- Retrieval info: <generic name="gui_actual_output_clock_frequency13" value="0 MHz" />
|
||
-- Retrieval info: <generic name="gui_ps_units13" value="ps" />
|
||
-- Retrieval info: <generic name="gui_phase_shift13" value="0" />
|
||
-- Retrieval info: <generic name="gui_phase_shift_deg13" value="0.0" />
|
||
-- Retrieval info: <generic name="gui_actual_phase_shift13" value="0" />
|
||
-- Retrieval info: <generic name="gui_duty_cycle13" value="50" />
|
||
-- Retrieval info: <generic name="gui_cascade_counter14" value="false" />
|
||
-- Retrieval info: <generic name="gui_output_clock_frequency14" value="100.0" />
|
||
-- Retrieval info: <generic name="gui_divide_factor_c14" value="1" />
|
||
-- Retrieval info: <generic name="gui_actual_output_clock_frequency14" value="0 MHz" />
|
||
-- Retrieval info: <generic name="gui_ps_units14" value="ps" />
|
||
-- Retrieval info: <generic name="gui_phase_shift14" value="0" />
|
||
-- Retrieval info: <generic name="gui_phase_shift_deg14" value="0.0" />
|
||
-- Retrieval info: <generic name="gui_actual_phase_shift14" value="0" />
|
||
-- Retrieval info: <generic name="gui_duty_cycle14" value="50" />
|
||
-- Retrieval info: <generic name="gui_cascade_counter15" value="false" />
|
||
-- Retrieval info: <generic name="gui_output_clock_frequency15" value="100.0" />
|
||
-- Retrieval info: <generic name="gui_divide_factor_c15" value="1" />
|
||
-- Retrieval info: <generic name="gui_actual_output_clock_frequency15" value="0 MHz" />
|
||
-- Retrieval info: <generic name="gui_ps_units15" value="ps" />
|
||
-- Retrieval info: <generic name="gui_phase_shift15" value="0" />
|
||
-- Retrieval info: <generic name="gui_phase_shift_deg15" value="0.0" />
|
||
-- Retrieval info: <generic name="gui_actual_phase_shift15" value="0" />
|
||
-- Retrieval info: <generic name="gui_duty_cycle15" value="50" />
|
||
-- Retrieval info: <generic name="gui_cascade_counter16" value="false" />
|
||
-- Retrieval info: <generic name="gui_output_clock_frequency16" value="100.0" />
|
||
-- Retrieval info: <generic name="gui_divide_factor_c16" value="1" />
|
||
-- Retrieval info: <generic name="gui_actual_output_clock_frequency16" value="0 MHz" />
|
||
-- Retrieval info: <generic name="gui_ps_units16" value="ps" />
|
||
-- Retrieval info: <generic name="gui_phase_shift16" value="0" />
|
||
-- Retrieval info: <generic name="gui_phase_shift_deg16" value="0.0" />
|
||
-- Retrieval info: <generic name="gui_actual_phase_shift16" value="0" />
|
||
-- Retrieval info: <generic name="gui_duty_cycle16" value="50" />
|
||
-- Retrieval info: <generic name="gui_cascade_counter17" value="false" />
|
||
-- Retrieval info: <generic name="gui_output_clock_frequency17" value="100.0" />
|
||
-- Retrieval info: <generic name="gui_divide_factor_c17" value="1" />
|
||
-- Retrieval info: <generic name="gui_actual_output_clock_frequency17" value="0 MHz" />
|
||
-- Retrieval info: <generic name="gui_ps_units17" value="ps" />
|
||
-- Retrieval info: <generic name="gui_phase_shift17" value="0" />
|
||
-- Retrieval info: <generic name="gui_phase_shift_deg17" value="0.0" />
|
||
-- Retrieval info: <generic name="gui_actual_phase_shift17" value="0" />
|
||
-- Retrieval info: <generic name="gui_duty_cycle17" value="50" />
|
||
-- Retrieval info: <generic name="gui_pll_auto_reset" value="On" />
|
||
-- Retrieval info: <generic name="gui_pll_bandwidth_preset" value="Auto" />
|
||
-- Retrieval info: <generic name="gui_en_reconf" value="false" />
|
||
-- Retrieval info: <generic name="gui_en_dps_ports" value="false" />
|
||
-- Retrieval info: <generic name="gui_en_phout_ports" value="false" />
|
||
-- Retrieval info: <generic name="gui_phout_division" value="1" />
|
||
-- Retrieval info: <generic name="gui_mif_generate" value="false" />
|
||
-- Retrieval info: <generic name="gui_enable_mif_dps" value="false" />
|
||
-- Retrieval info: <generic name="gui_dps_cntr" value="C0" />
|
||
-- Retrieval info: <generic name="gui_dps_num" value="1" />
|
||
-- Retrieval info: <generic name="gui_dps_dir" value="Positive" />
|
||
-- Retrieval info: <generic name="gui_refclk_switch" value="false" />
|
||
-- Retrieval info: <generic name="gui_refclk1_frequency" value="100.0" />
|
||
-- Retrieval info: <generic name="gui_switchover_mode" value="Automatic Switchover" />
|
||
-- Retrieval info: <generic name="gui_switchover_delay" value="0" />
|
||
-- Retrieval info: <generic name="gui_active_clk" value="false" />
|
||
-- Retrieval info: <generic name="gui_clk_bad" value="false" />
|
||
-- Retrieval info: <generic name="gui_enable_cascade_out" value="false" />
|
||
-- Retrieval info: <generic name="gui_cascade_outclk_index" value="0" />
|
||
-- Retrieval info: <generic name="gui_enable_cascade_in" value="false" />
|
||
-- Retrieval info: <generic name="gui_pll_cascading_mode" value="Create an adjpllin signal to connect with an upstream PLL" />
|
||
-- Retrieval info: </instance>
|
||
-- IPFS_FILES : pll.vho
|
||
-- RELATED_FILES: pll.vhd, pll_0002.v
|
eclaireXL/hardware/test/test_simplest_core/atari800core_eclaireXL.qsf | ||
---|---|---|
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 256
|
||
set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (VHDL)"
|
||
set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VHDL -section_id eda_simulation
|
||
set_global_assignment -name QIP_FILE pll.qip
|
||
set_global_assignment -name VHDL_FILE atari800core_eclaireXL.vhd
|
||
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
|
||
set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
|
eclaireXL/hardware/test/test_simplest_core/atari800core_eclaireXL.vhd | ||
---|---|---|
-- SYSTEM
|
||
SIGNAL CLK : STD_LOGIC;
|
||
SIGNAL CLK_114 : STD_LOGIC;
|
||
SIGNAL CLK_SDRAM : STD_LOGIC;
|
||
SIGNAL SVIDEO_ECS_CLK : STD_LOGIC;
|
||
SIGNAL RESET_N : STD_LOGIC;
|
||
signal SDRAM_RESET_N : std_logic;
|
||
SIGNAL PLL_LOCKED : STD_LOGIC;
|
||
... | ... | |
core : entity work.atari800core_helloworld
|
||
generic map
|
||
(
|
||
cycle_length => 32;
|
||
);
|
||
cycle_length => 32
|
||
)
|
||
port map
|
||
(
|
||
CLK => clk_pll,
|
||
CLK => clk,
|
||
RESET_N => pll_locked,
|
||
|
||
-- VIDEO OUT - PAL/NTSC, original Atari timings approx (may be higher res)
|
||
... | ... | |
AUDIO_R => audio_r_core,
|
||
|
||
-- JOYSTICK
|
||
JOY1_n => "11111";
|
||
JOY2_n => "11111";
|
||
JOY1_n => "11111",
|
||
JOY2_n => "11111",
|
||
|
||
-- KEYBOARD
|
||
PS2_CLK => ps2clk,
|
||
PS2_DAT => ps2dat,
|
||
|
||
-- video standard
|
||
PAL => "1"
|
||
PAL => '1'
|
||
);
|
||
|
||
VGA_HS <= not(VIDEO_HS xor VIDEO_VS);
|
||
... | ... | |
outclk_3 => SVIDEO_ECS_CLK,
|
||
locked => PLL_LOCKED);
|
||
|
||
|
||
GPIOA <= (others=>'Z');
|
||
GPIOB <= (others=>'Z');
|
||
GPIOC <= (others=>'Z');
|
||
|
||
DRAM_CS_N <= '1';
|
||
DRAM_BA_0 <= 'Z';
|
||
DRAM_BA_1 <= 'Z';
|
||
DRAM_RAS_N <= 'Z';
|
||
DRAM_CAS_N <= 'Z';
|
||
DRAM_WE_N <= 'Z';
|
||
DRAM_LDQM <= 'Z';
|
||
DRAM_UDQM <= 'Z';
|
||
DRAM_CKE <= 'Z';
|
||
DRAM_ADDR <= (others=>'Z');
|
||
DRAM_DQ <= (others=>'Z');
|
||
|
||
SD_DAT1 <= 'Z';
|
||
SD_DAT2 <= 'Z';
|
||
SD_DAT3 <= 'Z';
|
||
SD_CMD <= 'Z';
|
||
SD_CLK <= 'Z';
|
||
|
||
USB2DM <= 'Z';
|
||
USB2DP <= 'Z';
|
||
USB1DM <= 'Z';
|
||
USB1DP <= 'Z';
|
||
|
||
ADC_SDA <= 'Z';
|
||
ADC_SCL <= 'Z';
|
||
|
||
END vhdl;
|
eclaireXL/hardware/test/test_simplest_core/build.sh | ||
---|---|---|
`rm -rf $dir`;
|
||
mkdir $dir;
|
||
`cp atari800core_eclaireXL.vhd $dir`;
|
||
`cp -a *pll* $dir`;
|
||
`cp -a ../test_common/*pll* $dir`;
|
||
`cp -a *gpioram* $dir`;
|
||
`cp -a *zpu_rom* $dir`;
|
||
#`cp -a *serial_loader* $dir`;
|
||
... | ... | |
`cp ../../../../common/zpu/* ./$dir/common/zpu`;
|
||
|
||
chdir $dir;
|
||
`../../../../makeqsf ../../../../atari800core_eclaireXL.qsf ./common/a8core ./common/components`;
|
||
`../../../../makeqsf ../atari800core_eclaireXL.qsf ./common/a8core ./common/components`;
|
||
|
||
foreach my $key (sort keys %{$variants{$variant}})
|
||
{
|
Also available in: Unified diff
Hello world a800 core build, not yet tried on hardware