repo2/ultimate_cart/veronica/sram_mux.vhd @ 451
438 | markw | LIBRARY ieee;
|
|
USE ieee.std_logic_1164.all;
|
|||
USE ieee.numeric_std.all;
|
|||
ENTITY sram_mux IS
|
|||
PORT (
|
|||
clk : in std_logic;
|
|||
clk7x : in std_logic;
|
|||
reset_n : in std_logic;
|
|||
sram_addr : out std_logic_vector(19 downto 0);
|
|||
sram_data_out : out std_logic_vector(7 downto 0);
|
|||
sram_drive_data : out std_logic;
|
|||
sram_we_n : out std_logic;
|
|||
atari_bus_request : in std_logic;
|
|||
atari_sram_select : in std_logic;
|
|||
atari_address : in std_logic_vector(16 downto 0);
|
|||
atari_w_n : in std_logic;
|
|||
atari_write_data : in std_logic_vector(7 downto 0);
|
|||
veronica_address : in std_logic_vector(16 downto 0);
|
|||
veronica_sram_select : in std_logic;
|
|||
veronica_w_n : in std_logic;
|
|||
veronica_write_data : in std_logic_vector(7 downto 0)
|
|||
);
|
|||
END sram_mux;
|
|||
ARCHITECTURE vhdl OF sram_mux IS
|
|||
439 | markw | signal sram_we_n_next : std_logic;
|
|
signal sram_we_n_reg : std_logic;
|
|||
signal sram_drive_data_next : std_logic;
|
|||
signal sram_drive_data_reg : std_logic;
|
|||
441 | markw | ||
signal sram_write_data_next : std_logic_vector(7 downto 0);
|
|||
signal sram_write_data_reg : std_logic_vector(7 downto 0);
|
|||
438 | markw | ||
signal tick_next : std_logic;
|
|||
signal tick_reg : std_logic;
|
|||
439 | markw | ||
signal tick_last_fast_next : std_logic;
|
|||
signal tick_last_fast_reg : std_logic;
|
|||
438 | markw | ||
signal tick_fast_next : std_logic_vector(6 downto 0);
|
|||
signal tick_fast_reg : std_logic_vector(6 downto 0);
|
|||
signal tick_mask : std_logic_vector(6 downto 0);
|
|||
begin
|
|||
-- Back to back writes from 65816?
|
|||
process(veronica_address, veronica_write_data, veronica_w_n, veronica_sram_select,
|
|||
441 | markw | atari_bus_request, atari_address,atari_write_data, atari_w_n, atari_sram_select, tick_fast_reg,
|
|
sram_we_n_next)
|
|||
438 | markw | begin
|
|
sram_addr <= (others=>'0');
|
|||
441 | markw | sram_write_data_next <= (others=>'0');
|
|
439 | markw | sram_drive_data_next <= '0';
|
|
438 | markw | ||
if (atari_bus_request='1') then
|
|||
sram_addr(16 downto 0) <= atari_address;
|
|||
441 | markw | sram_write_data_next <= atari_write_data;
|
|
439 | markw | sram_we_n_next <= (atari_w_n or not(atari_sram_select) or tick_fast_reg(6));
|
|
438 | markw | else
|
|
sram_addr(16 downto 0) <= veronica_address;
|
|||
441 | markw | sram_write_data_next <= veronica_write_data;
|
|
439 | markw | sram_we_n_next <= (veronica_w_n or not(veronica_sram_select) or tick_fast_reg(6));
|
|
438 | markw | end if;
|
|
439 | markw | ||
sram_drive_data_next <= not(sram_we_n_next or tick_fast_reg(0) or tick_fast_reg(1) or tick_fast_reg(6));
|
|||
438 | markw | end process;
|
|
--
|
|||
-- 12345
|
|||
-- 01111
|
|||
-- 00000
|
|||
process(clk,reset_n)
|
|||
begin
|
|||
if (reset_n='0') then
|
|||
tick_reg <= '0';
|
|||
elsif (clk'event and clk='1') then
|
|||
tick_reg <= tick_next;
|
|||
end if;
|
|||
end process;
|
|||
tick_next <= not(tick_reg);
|
|||
process(clk7x,reset_n)
|
|||
begin
|
|||
if (reset_n='0') then
|
|||
tick_fast_reg <= (others=>'0');
|
|||
439 | markw | tick_last_fast_reg <= '0';
|
|
sram_we_n_reg <= '1';
|
|||
sram_drive_data_reg <= '0';
|
|||
441 | markw | sram_write_data_reg <= (others=>'0');
|
|
438 | markw | elsif (clk7x'event and clk7x='1') then
|
|
tick_fast_reg <= tick_fast_next;
|
|||
439 | markw | tick_last_fast_reg <= tick_last_fast_next;
|
|
sram_we_n_reg <= sram_we_n_next;
|
|||
sram_drive_data_reg <= sram_drive_data_next;
|
|||
441 | markw | sram_write_data_reg <= sram_write_data_next;
|
|
438 | markw | end if;
|
|
end process;
|
|||
439 | markw | tick_last_fast_next <= tick_reg;
|
|
tick_fast_next <= tick_fast_reg(5 downto 1)&(tick_reg xor tick_last_fast_reg)&tick_fast_reg(6);
|
|||
438 | markw | ||
-- 55 addr, 45 write pulse, 20 we -> drive
|
|||
-- 71.4 total -> 7x clock-> about 10ns
|
|||
-- 10 (we high), 60 (we low) 1 000 000
|
|||
-- 30 (no drive), 40 (drive) 0 001 111
|
|||
439 | markw | sram_we_n <= sram_we_n_reg;
|
|
sram_drive_data <= sram_drive_data_reg;
|
|||
441 | markw | sram_data_out <= sram_write_data_reg;
|
|
438 | markw | ||
end vhdl;
|