Project

General

Profile

« Previous | Next » 

Revision 45

Added by markw over 11 years ago

Added zpu. Fix sdram 32-bit mode.

View differences:

mcc216/atari800core.qsf
set_global_assignment -name QIP_FILE pll_downstream_ntsc.qip
set_global_assignment -name SDC_FILE atari800core.sdc
set_global_assignment -name VERILOG_FILE sdram_ctrl_3_ports.v
set_global_assignment -name VHDL_FILE zpu_rom.vhdl
set_global_assignment -name VHDL_FILE atari800core_mcc.vhd
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
mcc216/atari800core_mcc.vhd
SIGNAL CONSOL_SELECT : std_logic;
SIGNAL CONSOL_OPTION : std_logic;
-- 6502 throttling
SIGNAL THROTTLE_COUNT_6502 : std_logic_vector(5 downto 0);
-- scandoubler
signal scandouble_clk : std_logic;
......
signal svideo_y : std_logic_vector(7 downto 0);
signal svideo_c : std_logic_vector(5 downto 0);
-- dma/virtual drive
signal DMA_ADDR_FETCH : std_logic_vector(23 downto 0);
signal DMA_WRITE_DATA : std_logic_vector(31 downto 0);
signal DMA_FETCH : std_logic;
signal DMA_32BIT_WRITE_ENABLE : std_logic;
signal DMA_16BIT_WRITE_ENABLE : std_logic;
signal DMA_8BIT_WRITE_ENABLE : std_logic;
signal DMA_READ_ENABLE : std_logic;
signal DMA_MEMORY_READY : std_logic;
signal DMA_MEMORY_DATA : std_logic_vector(31 downto 0);
signal ZPU_ADDR_ROM : std_logic_vector(15 downto 0);
signal ZPU_ROM_DATA : std_logic_vector(31 downto 0);
signal ZPU_OUT1 : std_logic_vector(31 downto 0);
signal ZPU_OUT2 : std_logic_vector(31 downto 0);
signal ZPU_OUT3 : std_logic_vector(31 downto 0);
signal ZPU_OUT4 : std_logic_vector(31 downto 0);
signal zpu_pokey_enable : std_logic;
signal zpu_sio_txd : std_logic;
signal zpu_sio_rxd : std_logic;
signal zpu_sio_command : std_logic;
-- system control from zpu
signal ram_select : std_logic_vector(2 downto 0);
signal rom_select : std_logic_vector(5 downto 0);
signal reset_atari : std_logic;
signal pause_atari : std_logic;
SIGNAL speed_6502 : std_logic_vector(5 downto 0);
BEGIN
dac_left : hq_dac
......
JOY1_IN_N <= JOY1_n(4)&JOY1_n(0)&JOY1_n(1)&JOY1_n(2)&JOY1_n(3);
JOY2_IN_N <= JOY2_n(4)&JOY2_n(0)&JOY2_n(1)&JOY2_n(2)&JOY2_n(3);
-- THROTTLE
THROTTLE_COUNT_6502 <= std_logic_vector(to_unsigned(1,6));
--atari800xl : entity work.atari800core_helloworld
-- GENERIC MAP
......
KEYBOARD_RESPONSE => KEYBOARD_RESPONSE,
KEYBOARD_SCAN => KEYBOARD_SCAN,
SIO_COMMAND => open,
SIO_RXD => '1',
SIO_TXD => open,
SIO_COMMAND => zpu_sio_command,
SIO_RXD => zpu_sio_txd,
SIO_TXD => zpu_sio_rxd,
CONSOL_OPTION => CONSOL_OPTION,
CONSOL_SELECT => CONSOL_SELECT,
......
SDRAM_8BIT_WRITE_ENABLE => SDRAM_WIDTH_8bit_ACCESS,
SDRAM_REFRESH => SDRAM_REFRESH,
DMA_FETCH => '0',
DMA_READ_ENABLE => '0',
DMA_32BIT_WRITE_ENABLE => '0',
DMA_16BIT_WRITE_ENABLE => '0',
DMA_8BIT_WRITE_ENABLE => '0',
DMA_ADDR => (others=>'1'),
DMA_WRITE_DATA => (others=>'1'),
MEMORY_READY_DMA => open,
DMA_FETCH => dma_fetch,
DMA_READ_ENABLE => dma_read_enable,
DMA_32BIT_WRITE_ENABLE => dma_32bit_write_enable,
DMA_16BIT_WRITE_ENABLE => dma_16bit_write_enable,
DMA_8BIT_WRITE_ENABLE => dma_8bit_write_enable,
DMA_ADDR => dma_addr_fetch,
DMA_WRITE_DATA => dma_write_data,
MEMORY_READY_DMA => dma_memory_ready,
DMA_MEMORY_DATA => dma_memory_data,
RAM_SELECT => (others=>'0'),
RAM_SELECT => ram_select,
ROM_SELECT => "000001",
PAL => PAL,
HALT => '0',
THROTTLE_COUNT_6502 => THROTTLE_COUNT_6502
HALT => pause_atari,
THROTTLE_COUNT_6502 => speed_6502
);
process(clk_sdram,sdram_reset_ctrl_n_reg)
......
end if;
end process;
process(seq_reg, seq_next, sdram_rdy, sdram_reset_n_reg)
process(seq_reg, seq_next, sdram_rdy, sdram_reset_n_reg, reset_atari)
begin
sdram_reset_n_next <= sdram_reset_n_reg;
if (sdram_rdy = '1' and seq_next(8)='1' and seq_reg(8)='0') then
sdram_reset_n_next <= '1';
end if;
if (reset_atari = '1') then
sdram_reset_n_next <= '0';
end if;
end process;
-- Adapt SDRAM
......
if (SDRAM_WIDTH_8BIT_ACCESS = '1') then
ram_di_next <= (others=>'0');
else
ram_di_next <= SDRAM_DI(15 downto 0);
ram_di_next <= SDRAM_DI(31 downto 16);
ram_bena_next <= "11";
end if;
end if;
......
end generate;
gen_scandouble_on: if scandouble=1 generate
process(scandouble_clk,reset_n)
process(scandouble_clk,sdram_reset_n_reg)
begin
if (reset_n='0') then
if (sdram_reset_n_reg='0') then
half_scandouble_enable_reg <= '0';
elsif (scandouble_clk'event and scandouble_clk='1') then
half_scandouble_enable_reg <= half_scandouble_enable_next;
......
PORT MAP
(
CLK => SCANDOUBLE_CLK,
RESET_N => RESET_N and sdram_rdy,
RESET_N => sdram_reset_n_reg,
VGA => '1',
COMPOSITE_ON_HSYNC => '0', -- TODO
......
end generate;
zpu: entity work.zpucore
GENERIC MAP
(
platform => 1 -- TODO
)
PORT MAP
(
-- standard...
CLK => CLK,
RESET_N => RESET_N and sdram_rdy,
-- dma bus master (with many waitstates...)
ZPU_ADDR_FETCH => dma_addr_fetch,
ZPU_DATA_OUT => dma_write_data,
ZPU_FETCH => dma_fetch,
ZPU_32BIT_WRITE_ENABLE => dma_32bit_write_enable,
ZPU_16BIT_WRITE_ENABLE => dma_16bit_write_enable,
ZPU_8BIT_WRITE_ENABLE => dma_8bit_write_enable,
ZPU_READ_ENABLE => dma_read_enable,
ZPU_MEMORY_READY => dma_memory_ready,
ZPU_MEMORY_DATA => dma_memory_data,
-- rom bus master
-- data on next cycle after addr
ZPU_ADDR_ROM => zpu_addr_rom,
ZPU_ROM_DATA => zpu_rom_data,
-- spi master
-- Too painful to bit bang spi from zpu, so we have a hardware master in here
ZPU_SD_DAT0 => sd_dat0,
ZPU_SD_CLK => sd_clk,
ZPU_SD_CMD => sd_cmd,
ZPU_SD_DAT3 => sd_dat3,
-- SIO
-- Ditto for speaking to Atari, we have a built in Pokey
ZPU_POKEY_ENABLE => zpu_pokey_enable,
ZPU_SIO_TXD => zpu_sio_txd,
ZPU_SIO_RXD => zpu_sio_rxd,
ZPU_SIO_COMMAND => zpu_sio_command,
-- external control
-- switches etc. sector DMA blah blah.
ZPU_IN1 => X"00000000",
ZPU_IN2 => X"00000000",
ZPU_IN3 => X"00000000",
ZPU_IN4 => X"00000000",
-- ouputs - e.g. Atari system control, halt, throttle, rom select
ZPU_OUT1 => zpu_out1,
ZPU_OUT2 => zpu_out2,
ZPU_OUT3 => zpu_out3,
ZPU_OUT4 => zpu_out4
);
pause_atari <= zpu_out1(0);
reset_atari <= zpu_out1(1);
speed_6502 <= zpu_out1(7 downto 2);
ram_select <= zpu_out1(10 downto 8);
rom_select <= zpu_out1(16 downto 11);
zpu_rom1: entity work.zpu_rom
port map(
clock => clk,
address => zpu_addr_rom(13 downto 2),
q => zpu_rom_data
);
enable_179_clock_div_zpu_pokey : entity work.enable_divider
generic map (COUNT=>16) -- cycle_length
port map(clk=>clk,reset_n=>reset_n,enable_in=>'1',enable_out=>zpu_pokey_enable);
END vhdl;
mcc216/build.sh
`cp atari800core_mcc.vhd $dir`;
`cp *pll*.* $dir`;
`cp sdram_ctrl_3_ports.v $dir`;
`cp zpu_rom.vhdl $dir`;
`cp atari800core.sdc $dir`;
chdir $dir;
`../makeqsf ../atari800core.qsf ../svideo ../../common/a8core ../../common/components`;
`../makeqsf ../atari800core.qsf ../svideo ../../common/a8core ../../common/components ../../common/zpu`;
foreach my $key (sort keys %{$variants{$variant}})
{
mcc216/simulate_full.sh
cp `find ../../common/a8core/ -iname "*.vhdl"` .
cp `find ../../common/components/ -iname "*.vhd"` .
cp `find ../../common/components/ -iname "*.vhdl"` .
cp `find ../../common/zpu/ -iname "*.vhd"` .
cp `find ../../common/zpu/ -iname "*.vhdl"` .
cp ../atari800core_mcc.vhd .
cp ../zpu_rom.vhdl .
cp ../sdram_ctrl_3_ports.v .
cp ../*pll*.vhd* .
cp ../svideo/* .
mcc216/zpu_rom.vhdl
--
--ROMsUsingBlockRAMResources.
--VHDLcodeforaROMwithregisteredoutput(template2)
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity zpu_rom is
port(
clock:in std_logic;
address:in std_logic_vector(11 downto 0);
q:out std_logic_vector(31 downto 0)
);
end zpu_rom;
architecture syn of zpu_rom is
type rom_type is array(0 to 4095) of std_logic_vector(31 downto 0);
signal ROM:rom_type:=
(
X"0b0b0b89",
X"ad040b0b",
X"0b0b0b0b",
X"0b0b0b0b",
X"0b0b0b0b",
X"0b0b0b0b",
X"0b0b0b0b",
X"0b0b0b0b",
X"0b0b0b0b",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"71fd0608",
X"72830609",
X"81058205",
X"832b2a83",
X"ffff0652",
X"04000000",
X"00000000",
X"00000000",
X"71fd0608",
X"83ffff73",
X"83060981",
X"05820583",
X"2b2b0906",
X"7383ffff",
X"0b0b0b0b",
X"83a70400",
X"72098105",
X"72057373",
X"09060906",
X"73097306",
X"070a8106",
X"53510400",
X"00000000",
X"00000000",
X"72722473",
X"732e0753",
X"51040000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"71737109",
X"71068106",
X"30720a10",
X"0a720a10",
X"0a31050a",
X"81065151",
X"53510400",
X"00000000",
X"72722673",
X"732e0753",
X"51040000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"0b0b0b88",
X"bc040000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"720a722b",
X"0a535104",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"72729f06",
X"0981050b",
X"0b0b889f",
X"05040000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"72722aff",
X"739f062a",
X"0974090a",
X"8106ff05",
X"06075351",
X"04000000",
X"00000000",
X"00000000",
X"71715351",
X"020d0406",
X"73830609",
X"81058205",
X"832b0b2b",
X"0772fc06",
X"0c515104",
X"00000000",
X"72098105",
X"72050970",
X"81050906",
X"0a810653",
X"51040000",
X"00000000",
X"00000000",
X"00000000",
X"72098105",
X"72050970",
X"81050906",
X"0a098106",
X"53510400",
X"00000000",
X"00000000",
X"00000000",
X"71098105",
X"52040000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"72720981",
X"05055351",
X"04000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"72097206",
X"73730906",
X"07535104",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"71fc0608",
X"72830609",
X"81058305",
X"1010102a",
X"81ff0652",
X"04000000",
X"00000000",
X"00000000",
X"71fc0608",
X"0b0b80db",
X"9c738306",
X"10100508",
X"060b0b0b",
X"88a20400",
X"00000000",
X"00000000",
X"0b0b0b88",
X"ff040000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"0b0b0b88",
X"d8040000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"72097081",
X"0509060a",
X"8106ff05",
X"70547106",
X"73097274",
X"05ff0506",
X"07515151",
X"04000000",
X"72097081",
X"0509060a",
X"098106ff",
X"05705471",
X"06730972",
X"7405ff05",
X"06075151",
X"51040000",
X"05ff0504",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"810b80e0",
X"ac0c5104",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00007181",
X"05520400",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000284",
X"05721010",
X"05520400",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00007171",
X"05ff0571",
X"5351020d",
X"04000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"10101010",
X"10101010",
X"10101010",
X"10101010",
X"10101010",
X"10101010",
X"10101010",
X"10101053",
X"51047381",
X"ff067383",
X"06098105",
X"83051010",
X"102b0772",
X"fc060c51",
X"51043c04",
X"72728072",
X"8106ff05",
X"09720605",
X"71105272",
X"0a100a53",
X"72ed3851",
X"51535104",
X"83e08008",
X"83e08408",
X"83e08808",
X"757580d6",
X"e72d5050",
X"83e08008",
X"5683e088",
X"0c83e084",
X"0c83e080",
X"0c510483",
X"e0800883",
X"e0840883",
X"e0880875",
X"7580d4fb",
X"2d505083",
X"e0800856",
X"83e0880c",
X"83e0840c",
X"83e0800c",
X"51040000",
X"800489aa",
X"0489aa0b",
X"8d9f0480",
X"3d0d80e1",
X"c4087008",
X"810683e0",
X"800c5182",
X"3d0d04ff",
X"3d0d80e1",
X"c4087008",
X"70fe0676",
X"07720c52",
X"52833d0d",
X"04803d0d",
X"80e1c408",
X"70087081",
X"2c810683",
X"e0800c51",
X"51823d0d",
X"04ff3d0d",
X"80e1c408",
X"700870fd",
X"06761007",
X"720c5252",
X"833d0d04",
X"803d0d80",
X"e1c40870",
X"0870822c",
X"bf0683e0",
X"800c5151",
X"823d0d04",
X"ff3d0d80",
X"e1c40870",
X"0870fe83",
X"0676822b",
X"07720c52",
X"52833d0d",
X"04803d0d",
X"80e1c408",
X"70087088",
X"2c870683",
X"e0800c51",
X"51823d0d",
X"04ff3d0d",
X"80e1c408",
X"700870f1",
X"ff067688",
X"2b07720c",
X"5252833d",
X"0d04803d",
X"0d80e1c4",
X"08700870",
X"8b2cbf06",
X"83e0800c",
X"5151823d",
X"0d04ff3d",
X"0d80e1c4",
X"08700870",
X"f88fff06",
X"768b2b07",
X"720c5252",
X"833d0d04",
X"fd3d0d75",
X"81e62987",
X"2a80e1b4",
X"0854730c",
X"853d0d04",
X"fe3d0d75",
X"75ff1953",
X"535370ff",
X"2e8d3872",
X"72708105",
X"5434ff11",
X"51f03984",
X"3d0d04fe",
X"3d0d7575",
X"ff195353",
X"5370ff2e",
X"8d387272",
X"70840554",
X"0cff1151",
X"f039843d",
X"0d04fe3d",
X"0d848080",
X"53805288",
X"800a51ff",
X"b33f8180",
X"80538052",
X"82800a51",
X"c63f843d",
X"0d04803d",
X"0d8151fd",
X"dc3f7280",
X"2e8338d2",
X"3f8051fd",
X"d03f8051",
X"fd9d3f82",
X"3d0d04fd",
X"3d0d7552",
X"805480ff",
X"72258838",
X"810bff80",
X"135354ff",
X"bf125170",
X"99268638",
X"e012529e",
X"39ff9f12",
X"51997127",
X"9538d012",
X"e0137054",
X"54518971",
X"2788388f",
X"73278338",
X"80527380",
X"2e853881",
X"80125271",
X"81ff0683",
X"e0800c85",
X"3d0d0480",
X"3d0d823d",
X"0d04ff3d",
X"0d80e0d0",
X"0880e0e0",
X"08525271",
X"33713483",
X"3d0d0483",
X"e08c0802",
X"83e08c0c",
X"fd3d0d80",
X"0b83e09c",
X"0c86b8c0",
X"0b83e0a0",
X"0c8151fc",
X"b83f8151",
X"fce23f8d",
X"83528051",
X"9b803f80",
X"0b83e09c",
X"0c0b0b80",
X"dbac519a",
X"fc3f8480",
X"80528684",
X"8080519e",
X"a83f83e0",
X"8008818b",
X"380b0b80",
X"dbcc519a",
X"e03f9de2",
X"3f83e080",
X"088605fc",
X"0683e08c",
X"08f8050c",
X"0283e08c",
X"08f80508",
X"310d833d",
X"0b0b80db",
X"dc5283e0",
X"8c08fc05",
X"0c9ab23f",
X"83e08c08",
X"fc050852",
X"0b0b80db",
X"ec51a0de",
X"3f83e080",
X"0883e08c",
X"08f8050c",
X"83e08008",
X"aa380b0b",
X"80dbfc51",
X"9a873f88",
X"913f83e0",
X"8c08fc05",
X"085283e0",
X"8c08f805",
X"085184b1",
X"3f8151fd",
X"a93f918e",
X"3f92390b",
X"0b80dc8c",
X"5187390b",
X"0b80dca0",
X"5199d63f",
X"fe883ffc",
X"39803d0d",
X"81ff5180",
X"0b83e0a8",
X"1234ff11",
X"5170f438",
X"823d0d04",
X"ff3d0d73",
X"70335351",
X"81113371",
X"34718112",
X"34833d0d",
X"04fb3d0d",
X"77028405",
X"a2052255",
X"56807071",
X"55565271",
X"7427ac38",
X"72167033",
X"70147081",
X"ff065551",
X"51517175",
X"27893881",
X"127081ff",
X"06535171",
X"81147083",
X"ffff0655",
X"52557373",
X"26d63871",
X"83e0800c",
X"873d0d04",
X"fd3d0d75",
X"5493883f",
X"83e08008",
X"802ef638",
X"83e2c008",
X"86057081",
X"ff065253",
X"90e13f84",
X"39fceb3f",
X"92e93f83",
X"e0800881",
X"2ef33891",
X"c43f83e0",
X"80087434",
X"91bb3f83",
X"e0800881",
X"153491b1",
X"3f83e080",
X"08821534",
X"91a73f83",
X"e0800883",
X"1534919d",
X"3f83e080",
X"08841534",
X"8439fcaa",
X"3f92a83f",
X"83e08008",
X"802ef338",
X"80dcb451",
X"97e33f73",
X"3383e0a8",
X"34811433",
X"83e0a934",
X"82143383",
X"e0aa3483",
X"143383e0",
X"ab348452",
X"83e0a851",
X"fe9b3f83",
X"e0800881",
X"ff067433",
X"5380dcbc",
X"525397ad",
X"3f811433",
X"5280dcbc",
X"5197a23f",
X"82143352",
X"80dcbc51",
X"97973f83",
X"14335280",
X"dcbc5197",
X"8c3f8414",
X"335280dc",
X"bc519781",
X"3f725280",
X"dcbc5196",
X"f83f8414",
X"33547274",
X"2e098106",
X"8c3890d3",
X"3f83e080",
X"08802eb8",
X"3880dcc0",
X"5196da3f",
X"83e2c008",
X"a82e0981",
X"068d3886",
X"0b83e2c0",
X"0c80dcc8",
X"518b39a8",
X"0b83e2c0",
X"0c80dcd0",
X"5196b63f",
X"83e2c008",
X"5280dcbc",
X"5196aa3f",
X"80dc9c51",
X"96a33f80",
X"e451f8e8",
X"3f853d0d",
X"04fc3d0d",
X"76785555",
X"80537215",
X"70335351",
X"71802eba",
X"3871ae2e",
X"86388113",
X"53ec3973",
X"33811233",
X"54527173",
X"2e098106",
X"a1388114",
X"33821233",
X"54527173",
X"2e098106",
X"9138810b",
X"82153383",
X"13335355",
X"5273712e",
X"83388052",
X"7183e080",
X"0c863d0d",
X"04f63d0d",
X"7d57805b",
X"7c822b58",
X"800b83e2",
X"c4190c76",
X"802e83b2",
X"38805276",
X"5198813f",
X"8c3dfc05",
X"54905383",
X"e2b05276",
X"51979e3f",
X"7a567590",
X"2e883880",
X"dcd85182",
X"e33983e2",
X"b051fbc4",
X"3f83e2b2",
X"51fbbd3f",
X"83e2b451",
X"fbb63f80",
X"0b83e2bc",
X"0c765196",
X"c93f80dc",
X"f05283e0",
X"800851fe",
X"c03f83e0",
X"80089a38",
X"765196b2",
X"3f80dcf4",
X"5283e080",
X"0851fea9",
X"3f83e080",
X"08802ea3",
X"3880dcf8",
X"5194b23f",
X"800b83e2",
X"d40c820b",
X"83e2b034",
X"ff960b83",
X"e2b13476",
X"51978f3f",
X"80c73983",
X"e2b03383",
X"e2b13371",
X"882b0756",
X"597483ff",
X"ff2e0981",
X"0680e938",
X"80dd8051",
X"93f73ffe",
X"800b83e2",
X"d40c810b",
X"83e2bc0c",
X"ff0b83e2",
X"b034ff0b",
X"83e2b134",
X"765196ce",
X"3f83e080",
X"0883e2d8",
X"0c83e080",
X"085583e0",
X"80088025",
X"883883e0",
X"80088f05",
X"5574842c",
X"7083ffff",
X"0670882a",
X"58515575",
X"83e2b234",
X"7483e2b3",
X"34800b83",
X"e2b434ff",
X"800b83e2",
X"b5349f39",
X"7485962e",
X"0981068f",
X"3880dd88",
X"5193863f",
X"7583e2d4",
X"0c883980",
X"dd905180",
X"d73983e2",
X"b43383e2",
X"b5337188",
X"2b075656",
X"7481802e",
X"098106a1",
X"3883e2b2",
X"3383e2b3",
X"3371882b",
X"07565aad",
X"80752787",
X"3880dda4",
X"51ab3980",
X"dda851a5",
X"39748280",
X"2e098106",
X"873880dd",
X"ac519639",
X"7481ff26",
X"873880dd",
X"b0518a39",
X"80ddb451",
X"929f3fa6",
X"39929a3f",
X"83e2b233",
X"83e2b333",
X"71882b07",
X"535980dc",
X"bc519285",
X"3f80ddc4",
X"5191fe3f",
X"7683e2c4",
X"190c8c3d",
X"0d04fe3d",
X"0d800b83",
X"e2ac0c80",
X"0b83e2a8",
X"0cff0b83",
X"e0a40ca8",
X"0b83e2c0",
X"0cae5189",
X"fa3f800b",
X"83e2c454",
X"52807370",
X"8405550c",
X"81125271",
X"842e0981",
X"06ef3884",
X"3d0d04fe",
X"3d0d7402",
X"84059605",
X"22535371",
X"802e9638",
X"72708105",
X"5433518a",
X"993fff12",
X"7083ffff",
X"065152e7",
X"39843d0d",
X"04fe3d0d",
X"02920522",
X"80ddc852",
X"5390fe3f",
X"725280dc",
X"bc5190f5",
X"3f82ac51",
X"f3ba3f80",
X"c35189e6",
X"3f819651",
X"f3ae3f72",
X"5283e0a8",
X"51ffa43f",
X"725283e0",
X"a851f7ad",
X"3f83e080",
X"0881ff06",
X"70525389",
X"c13f80dd",
X"d05190bd",
X"3f725280",
X"dcbc5190",
X"b43f80dd",
X"d85190ad",
X"3f843d0d",
X"04f33d0d",
X"8f3df805",
X"51f7c53f",
X"83e2ac08",
X"810583e2",
X"ac0c8d3d",
X"33cf1170",
X"81ff0651",
X"56567482",
X"26879938",
X"758e0680",
X"dddc5255",
X"8ff73f74",
X"5280dcbc",
X"518fee3f",
X"7483e0a4",
X"082e8b38",
X"74832486",
X"387483e0",
X"a40c8075",
X"248d3874",
X"842983e2",
X"c4055574",
X"088b3880",
X"dde4518f",
X"c43f86e2",
X"3983e0a4",
X"08842983",
X"e2c40570",
X"08028805",
X"b1053352",
X"5b557480",
X"d22e81fe",
X"387480d2",
X"24893874",
X"bf2e8e38",
X"86a93974",
X"80d32e80",
X"e938869f",
X"3980ddf8",
X"518f863f",
X"02b30533",
X"70882b81",
X"fe800602",
X"8805b205",
X"33710551",
X"565688b0",
X"3f80c151",
X"87e43ff5",
X"943f860b",
X"83e0a834",
X"815283e0",
X"a851899f",
X"3f8151fd",
X"c43f748d",
X"38860b83",
X"e2c00c80",
X"dcc8518b",
X"39a80b83",
X"e2c00c80",
X"dcd0518e",
X"b43f83e2",
X"c0085280",
X"dcbc518e",
X"a83f80de",
X"80518ea1",
X"3f87e13f",
X"80c15187",
X"953ff4c5",
X"3f980b83",
X"e2b43383",
X"e2b53371",
X"882b0757",
X"59567481",
X"802e0981",
X"069a3883",
X"e2b23383",
X"e2b33371",
X"882b0756",
X"57ad8075",
X"27883881",
X"98568339",
X"b8567583",
X"e0a834ff",
X"0b83e0a9",
X"34e00b83",
X"e0aa3480",
X"0b83e0ab",
X"34845283",
X"e0a85188",
X"8a3f8451",
X"fcaf3f83",
X"e0a83352",
X"80dcbc51",
X"8daf3f80",
X"de885184",
X"b43902b3",
X"05337088",
X"2b81fe80",
X"06028805",
X"b2053358",
X"77055959",
X"80705d59",
X"86ce3f80",
X"c1518682",
X"3f80de90",
X"518cfe3f",
X"775280dc",
X"bc518cf5",
X"3f80ded4",
X"518cee3f",
X"83e2bc08",
X"792e8381",
X"3883e2d8",
X"0880fc05",
X"5580fd52",
X"7451b895",
X"3f83e080",
X"0880dd80",
X"525b8cc9",
X"3f778224",
X"b93880de",
X"98518cbd",
X"3fff1870",
X"872b83ff",
X"ff800680",
X"e1d80583",
X"e0a85957",
X"55818055",
X"75708105",
X"57337770",
X"81055934",
X"ff157081",
X"ff065155",
X"74ea3882",
X"9b397782",
X"e82e0981",
X"068b3880",
X"dea0518b",
X"fc3f81aa",
X"397782e9",
X"2e098106",
X"81b13880",
X"deb0518b",
X"e83f7858",
X"77873270",
X"30707207",
X"80257a8a",
X"32703070",
X"72078025",
X"73075354",
X"5a515755",
X"75802e97",
X"38787826",
X"9238a00b",
X"83e0a81a",
X"34811970",
X"81ff065a",
X"55eb3981",
X"187081ff",
X"0659558a",
X"7827ffbc",
X"388f5883",
X"e0a31833",
X"83e0a819",
X"34ff1870",
X"81ff0659",
X"55778426",
X"ea389058",
X"800b83e0",
X"a8193481",
X"187081ff",
X"0670982b",
X"52595574",
X"8025e938",
X"80c6557a",
X"858f2484",
X"3880c255",
X"7483e0a8",
X"3480f10b",
X"83e0ab34",
X"810b83e0",
X"ac347a83",
X"e0a9347a",
X"882c5574",
X"83e0aa34",
X"80ce3982",
X"f0782580",
X"c73880de",
X"b8518ab1",
X"3f7780fd",
X"29fd97d3",
X"05527951",
X"8d823f8f",
X"3df40554",
X"80fd5383",
X"e0a85279",
X"518c9e3f",
X"7b811959",
X"567580fc",
X"24833878",
X"5877882c",
X"557483e1",
X"a5347783",
X"e1a63475",
X"83e1a734",
X"80dec051",
X"89eb3f81",
X"805980d8",
X"3983e2d4",
X"08578378",
X"259b3883",
X"e2b43383",
X"e2b53371",
X"882b07fc",
X"1a712979",
X"05838005",
X"5951598d",
X"39778180",
X"2917ff80",
X"05578180",
X"59765280",
X"dcbc5189",
X"ac3f80dc",
X"9c5189a5",
X"3f765279",
X"518bfd3f",
X"8f3df405",
X"54785383",
X"e0a85279",
X"518b9a3f",
X"7851f7fd",
X"3f80decc",
X"5189823f",
X"805280dc",
X"bc5188f9",
X"3f80dc9c",
X"5188f23f",
X"82f73f80",
X"fb3f8b39",
X"83e2a808",
X"810583e2",
X"a80c8f3d",
X"0d04f8b1",
X"3fed8b3f",
X"f939fe3d",
X"0d80e0f4",
X"08703370",
X"81ff0670",
X"842a8132",
X"81065551",
X"52537180",
X"2e8c38a8",
X"733480e0",
X"f40851b8",
X"71347183",
X"e0800c84",
X"3d0d04fe",
X"3d0d80e0",
X"f4087033",
X"7081ff06",
X"70852a81",
X"32810655",
X"51525371",
X"802e8c38",
X"98733480",
X"e0f40851",
X"b8713471",
X"83e0800c",
X"843d0d04",
X"803d0d80",
X"e0f00851",
X"93713480",
X"e0fc0851",
X"ff713482",
X"3d0d04fe",
X"3d0d0293",
X"053380e0",
X"f0085353",
X"8072348a",
X"51ea913f",
X"d33f80e1",
X"80085280",
X"f8723480",
X"e1980852",
X"807234fa",
X"1380e1a0",
X"08535372",
X"723480e1",
X"88085280",
X"723480e1",
X"90085272",
X"723480e0",
X"f4085280",
X"723480e0",
X"f40852b8",
X"7234843d",
X"0d04ff3d",
X"0d028f05",
X"3380e0f8",
X"08525271",
X"7134fe9e",
X"3f83e080",
X"08802ef6",
X"38833d0d",
X"04803d0d",
X"8439eb92",
X"3ffeb83f",
X"83e08008",
X"802ef338",
X"80e0f808",
X"70337081",
X"ff0683e0",
X"800c5151",
X"823d0d04",
X"803d0d80",
X"e0f00851",
X"a3713480",
X"e0fc0851",
X"ff713480",
X"e0f40851",
X"a8713480",
X"e0f40851",
X"b8713482",
X"3d0d0480",
X"3d0d80e0",
X"f0087033",
X"7081c006",
X"70307080",
X"2583e080",
X"0c515151",
X"51823d0d",
X"04ff3d0d",
X"80e0f408",
X"70337081",
X"ff067083",
X"2a813270",
X"81065151",
X"51525270",
X"802ee538",
X"b0723480",
X"e0f40851",
X"b8713483",
X"3d0d0480",
X"3d0d80e1",
X"a8087008",
X"810683e0",
X"800c5182",
X"3d0d04fd",
X"3d0d7577",
X"54548073",
X"25943873",
X"70810555",
X"335280de",
X"d851859d",
X"3fff1353",
X"e939853d",
X"0d04f63d",
X"0d7c7e60",
X"625a5d5b",
X"56805981",
X"55853974",
X"7a295574",
X"527551b0",
X"b83f83e0",
X"80087a27",
X"ee387480",
X"2e80dd38",
X"74527551",
X"b0a33f83",
X"e0800875",
X"53765254",
X"b0ca3f83",
X"e080087a",
X"53755256",
X"b08b3f83",
X"e0800879",
X"30707b07",
X"9f2a7077",
X"80240751",
X"51545572",
X"873883e0",
X"8008c538",
X"768118b0",
X"16555858",
X"8974258b",
X"38b71453",
X"7a853880",
X"d7145372",
X"78348119",
X"59ff9f39",
X"8077348c",
X"3d0d04f7",
X"3d0d7b7d",
X"7f620290",
X"05bb0533",
X"5759565a",
X"5ab05872",
X"8338a058",
X"75707081",
X"05523371",
X"59545590",
X"39807425",
X"8e38ff14",
X"77708105",
X"59335454",
X"72ef3873",
X"ff155553",
X"80732589",
X"38775279",
X"51782def",
X"39753375",
X"57537280",
X"2e903872",
X"52795178",
X"2d757081",
X"05573353",
X"ed398b3d",
X"0d04ee3d",
X"0d646669",
X"69707081",
... This diff was truncated because it exceeds the maximum size that can be displayed.

Also available in: Unified diff