repo2/mist_5200/zpu_rom.vhdl @ 446
177 | markw | ||
--
|
|||
--ROMsUsingBlockRAMResources.
|
|||
--VHDLcodeforaROMwithregisteredoutput(template2)
|
|||
--
|
|||
library ieee;
|
|||
use ieee.std_logic_1164.all;
|
|||
use ieee.std_logic_unsigned.all;
|
|||
entity zpu_rom is
|
|||
port(
|
|||
clock:in std_logic;
|
|||
address:in std_logic_vector(11 downto 0);
|
|||
q:out std_logic_vector(31 downto 0)
|
|||
);
|
|||
end zpu_rom;
|
|||
architecture syn of zpu_rom is
|
|||
type rom_type is array(0 to 4095) of std_logic_vector(31 downto 0);
|
|||
signal ROM:rom_type:=
|
|||
(
|
|||
X"0b0b0b89",
|
|||
X"ad040b0b",
|
|||
X"0b0b0b0b",
|
|||
X"0b0b0b0b",
|
|||
X"0b0b0b0b",
|
|||
X"0b0b0b0b",
|
|||
X"0b0b0b0b",
|
|||
X"0b0b0b0b",
|
|||
X"0b0b0b0b",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"71fd0608",
|
|||
X"72830609",
|
|||
X"81058205",
|
|||
X"832b2a83",
|
|||
X"ffff0652",
|
|||
X"04000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"71fd0608",
|
|||
X"83ffff73",
|
|||
X"83060981",
|
|||
X"05820583",
|
|||
X"2b2b0906",
|
|||
X"7383ffff",
|
|||
X"0b0b0b0b",
|
|||
X"83a70400",
|
|||
X"72098105",
|
|||
X"72057373",
|
|||
X"09060906",
|
|||
X"73097306",
|
|||
X"070a8106",
|
|||
X"53510400",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"72722473",
|
|||
X"732e0753",
|
|||
X"51040000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"71737109",
|
|||
X"71068106",
|
|||
X"30720a10",
|
|||
X"0a720a10",
|
|||
X"0a31050a",
|
|||
X"81065151",
|
|||
X"53510400",
|
|||
X"00000000",
|
|||
X"72722673",
|
|||
X"732e0753",
|
|||
X"51040000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"0b0b0b88",
|
|||
X"bc040000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"720a722b",
|
|||
X"0a535104",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"72729f06",
|
|||
X"0981050b",
|
|||
X"0b0b889f",
|
|||
X"05040000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"72722aff",
|
|||
X"739f062a",
|
|||
X"0974090a",
|
|||
X"8106ff05",
|
|||
X"06075351",
|
|||
X"04000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"71715351",
|
|||
X"020d0406",
|
|||
X"73830609",
|
|||
X"81058205",
|
|||
X"832b0b2b",
|
|||
X"0772fc06",
|
|||
X"0c515104",
|
|||
X"00000000",
|
|||
X"72098105",
|
|||
X"72050970",
|
|||
X"81050906",
|
|||
X"0a810653",
|
|||
X"51040000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"72098105",
|
|||
X"72050970",
|
|||
X"81050906",
|
|||
X"0a098106",
|
|||
X"53510400",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"71098105",
|
|||
X"52040000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"72720981",
|
|||
X"05055351",
|
|||
X"04000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"72097206",
|
|||
X"73730906",
|
|||
X"07535104",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"71fc0608",
|
|||
X"72830609",
|
|||
X"81058305",
|
|||
X"1010102a",
|
|||
X"81ff0652",
|
|||
X"04000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"71fc0608",
|
|||
386 | markw | X"0b0b80eb",
|
|
426 | markw | X"f0738306",
|
|
177 | markw | X"10100508",
|
|
X"060b0b0b",
|
|||
X"88a20400",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"0b0b0b88",
|
|||
X"ff040000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"0b0b0b88",
|
|||
X"d8040000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"72097081",
|
|||
X"0509060a",
|
|||
X"8106ff05",
|
|||
X"70547106",
|
|||
X"73097274",
|
|||
X"05ff0506",
|
|||
X"07515151",
|
|||
X"04000000",
|
|||
X"72097081",
|
|||
X"0509060a",
|
|||
X"098106ff",
|
|||
X"05705471",
|
|||
X"06730972",
|
|||
X"7405ff05",
|
|||
X"06075151",
|
|||
X"51040000",
|
|||
X"05ff0504",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
386 | markw | X"810b80ef",
|
|
426 | markw | X"b00c5104",
|
|
177 | markw | X"00000000",
|
|
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00007181",
|
|||
X"05520400",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000284",
|
|||
X"05721010",
|
|||
X"05520400",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00007171",
|
|||
X"05ff0571",
|
|||
X"5351020d",
|
|||
X"04000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"10101010",
|
|||
X"10101010",
|
|||
X"10101010",
|
|||
X"10101010",
|
|||
X"10101010",
|
|||
X"10101010",
|
|||
X"10101010",
|
|||
X"10101053",
|
|||
X"51047381",
|
|||
X"ff067383",
|
|||
X"06098105",
|
|||
X"83051010",
|
|||
X"102b0772",
|
|||
X"fc060c51",
|
|||
X"51043c04",
|
|||
X"72728072",
|
|||
X"8106ff05",
|
|||
X"09720605",
|
|||
X"71105272",
|
|||
X"0a100a53",
|
|||
X"72ed3851",
|
|||
X"51535104",
|
|||
X"83e08008",
|
|||
X"83e08408",
|
|||
X"83e08808",
|
|||
386 | markw | X"757580e6",
|
|
426 | markw | X"a02d5050",
|
|
177 | markw | X"83e08008",
|
|
X"5683e088",
|
|||
X"0c83e084",
|
|||
X"0c83e080",
|
|||
X"0c510483",
|
|||
X"e0800883",
|
|||
X"e0840883",
|
|||
X"e0880875",
|
|||
426 | markw | X"7580e4b4",
|
|
177 | markw | X"2d505083",
|
|
X"e0800856",
|
|||
X"83e0880c",
|
|||
X"83e0840c",
|
|||
X"83e0800c",
|
|||
X"51040000",
|
|||
X"800489aa",
|
|||
X"0489aa0b",
|
|||
426 | markw | X"80dde104",
|
|
310 | markw | X"fd3d0d75",
|
|
X"705254ae",
|
|||
426 | markw | X"a53f83e0",
|
|
310 | markw | X"80081453",
|
|
X"72742e92",
|
|||
X"38ff1370",
|
|||
X"33535371",
|
|||
X"af2e0981",
|
|||
X"06ee3881",
|
|||
X"13537283",
|
|||
X"e0800c85",
|
|||
X"3d0d04fd",
|
|||
X"3d0d7577",
|
|||
X"70535454",
|
|||
X"c73f83e0",
|
|||
X"8008732e",
|
|||
X"a13883e0",
|
|||
X"80087331",
|
|||
X"52ff1252",
|
|||
X"71ff2e8f",
|
|||
X"38727081",
|
|||
X"05543374",
|
|||
X"70810556",
|
|||
X"34eb39ff",
|
|||
X"14548074",
|
|||
X"34853d0d",
|
|||
X"04803d0d",
|
|||
X"7251ff90",
|
|||
X"3f823d0d",
|
|||
X"047183e0",
|
|||
X"800c0480",
|
|||
X"3d0d7251",
|
|||
X"80713481",
|
|||
X"0bbc120c",
|
|||
X"800b80c0",
|
|||
X"120c823d",
|
|||
X"0d04800b",
|
|||
X"83e2c008",
|
|||
X"248a38b4",
|
|||
426 | markw | X"f63fff0b",
|
|
310 | markw | X"83e2c00c",
|
|
X"800b83e0",
|
|||
X"800c04ff",
|
|||
X"3d0d7352",
|
|||
X"83e09c08",
|
|||
X"722e8d38",
|
|||
X"d93f7151",
|
|||
426 | markw | X"96a03f71",
|
|
310 | markw | X"83e09c0c",
|
|
X"833d0d04",
|
|||
207 | markw | X"f43d0d7e",
|
|
310 | markw | X"60625c5a",
|
|
426 | markw | X"55805681",
|
|
X"54bc1508",
|
|||
X"762e0981",
|
|||
X"06819138",
|
|||
X"7451c83f",
|
|||
X"7958757a",
|
|||
X"2580f738",
|
|||
310 | markw | X"83e2f008",
|
|
426 | markw | X"70892a57",
|
|
X"83ff0678",
|
|||
X"84807231",
|
|||
X"56565773",
|
|||
X"78258338",
|
|||
X"73557583",
|
|||
X"e2c0082e",
|
|||
X"8438ff82",
|
|||
X"3f83e2c0",
|
|||
X"088025a6",
|
|||
X"3875892b",
|
|||
X"5198dc3f",
|
|||
X"83e2f008",
|
|||
X"8f3dfc11",
|
|||
X"555c5481",
|
|||
X"52f81b51",
|
|||
X"96c63f76",
|
|||
X"1483e2f0",
|
|||
X"0c7583e2",
|
|||
X"c00c7453",
|
|||
X"76527851",
|
|||
X"b3a03f83",
|
|||
X"e0800883",
|
|||
X"e2f00816",
|
|||
X"83e2f00c",
|
|||
X"78763176",
|
|||
X"1b5b5956",
|
|||
X"778024ff",
|
|||
X"8b38617a",
|
|||
X"710c5475",
|
|||
X"5475802e",
|
|||
X"83388154",
|
|||
X"7383e080",
|
|||
X"0c8e3d0d",
|
|||
X"04fc3d0d",
|
|||
X"fe943f76",
|
|||
X"51fea83f",
|
|||
X"863dfc05",
|
|||
X"53785277",
|
|||
X"5195e93f",
|
|||
X"7975710c",
|
|||
X"5483e080",
|
|||
X"085483e0",
|
|||
X"8008802e",
|
|||
X"83388154",
|
|||
X"7383e080",
|
|||
X"0c863d0d",
|
|||
X"04fe3d0d",
|
|||
X"7583e2c0",
|
|||
X"08535380",
|
|||
X"72248938",
|
|||
X"71732e84",
|
|||
X"38fdcf3f",
|
|||
X"7451fde3",
|
|||
X"3f725197",
|
|||
X"ae3f83e0",
|
|||
X"80085283",
|
|||
310 | markw | X"e0800880",
|
|
X"2e833881",
|
|||
426 | markw | X"527183e0",
|
|
X"800c843d",
|
|||
X"0d04803d",
|
|||
X"0d7280c0",
|
|||
X"110883e0",
|
|||
X"800c5182",
|
|||
X"3d0d0480",
|
|||
X"3d0d72bc",
|
|||
X"110883e0",
|
|||
X"800c5182",
|
|||
X"3d0d0480",
|
|||
X"c40b83e0",
|
|||
X"800c04fd",
|
|||
X"3d0d7577",
|
|||
X"71547053",
|
|||
X"5553a9f6",
|
|||
X"3f82c813",
|
|||
X"08bc150c",
|
|||
X"82c01308",
|
|||
X"80c0150c",
|
|||
X"fce43f73",
|
|||
X"5193ab3f",
|
|||
X"7383e09c",
|
|||
X"0c83e080",
|
|||
X"085383e0",
|
|||
X"8008802e",
|
|||
X"83388153",
|
|||
X"7283e080",
|
|||
X"0c853d0d",
|
|||
X"04fd3d0d",
|
|||
X"75775553",
|
|||
X"fcb83f72",
|
|||
X"802ea538",
|
|||
X"bc130852",
|
|||
X"7351a980",
|
|||
X"3f83e080",
|
|||
X"088f3877",
|
|||
X"527251ff",
|
|||
X"9a3f83e0",
|
|||
X"8008538a",
|
|||
X"3982cc13",
|
|||
X"0853d839",
|
|||
X"81537283",
|
|||
X"e0800c85",
|
|||
X"3d0d04fe",
|
|||
X"3d0dff0b",
|
|||
X"83e2c00c",
|
|||
X"7483e0a0",
|
|||
X"0c7583e2",
|
|||
X"bc0cafd4",
|
|||
X"3f83e080",
|
|||
X"0881ff06",
|
|||
X"52815371",
|
|||
X"993883e2",
|
|||
X"d8518e94",
|
|||
X"3f83e080",
|
|||
X"085283e0",
|
|||
X"8008802e",
|
|||
X"83387252",
|
|||
X"71537283",
|
|||
310 | markw | X"e0800c84",
|
|
426 | markw | X"3d0d04fa",
|
|
X"3d0d787a",
|
|||
X"82c41208",
|
|||
X"82c41208",
|
|||
X"70722459",
|
|||
X"56565757",
|
|||
X"73732e09",
|
|||
X"81069138",
|
|||
X"80c01652",
|
|||
X"80c01751",
|
|||
X"a6f13f83",
|
|||
X"e0800855",
|
|||
X"7483e080",
|
|||
X"0c883d0d",
|
|||
X"04f63d0d",
|
|||
X"7c5b807b",
|
|||
X"715c5457",
|
|||
X"7a772e8c",
|
|||
X"38811a82",
|
|||
X"cc140854",
|
|||
X"5a72f638",
|
|||
X"805980d9",
|
|||
X"397a5481",
|
|||
X"5780707b",
|
|||
X"7b315a57",
|
|||
X"55ff1853",
|
|||
X"74732580",
|
|||
X"c13882cc",
|
|||
X"14085273",
|
|||
X"51ff8c3f",
|
|||
X"800b83e0",
|
|||
X"800825a1",
|
|||
X"3882cc14",
|
|||
X"0882cc11",
|
|||
X"0882cc16",
|
|||
X"0c7482cc",
|
|||
X"120c5375",
|
|||
X"802e8638",
|
|||
X"7282cc17",
|
|||
X"0c725480",
|
|||
X"577382cc",
|
|||
X"15088117",
|
|||
X"575556ff",
|
|||
X"b8398119",
|
|||
X"59800bff",
|
|||
X"1b545478",
|
|||
X"73258338",
|
|||
X"81547681",
|
|||
X"32707506",
|
|||
X"515372ff",
|
|||
X"90388c3d",
|
|||
X"0d04f73d",
|
|||
X"0d7b7d5a",
|
|||
X"5a82d052",
|
|||
X"83e2bc08",
|
|||
X"5180d2ea",
|
|||
X"3f83e080",
|
|||
X"0857f9da",
|
|||
X"3f795283",
|
|||
X"e2c45195",
|
|||
X"b53f83e0",
|
|||
X"80085480",
|
|||
X"5383e080",
|
|||
X"08732e09",
|
|||
X"81068283",
|
|||
X"3883e0a0",
|
|||
X"080b0b80",
|
|||
X"edb85370",
|
|||
X"5256a6ae",
|
|||
X"3f0b0b80",
|
|||
X"edb85280",
|
|||
X"c01651a6",
|
|||
X"a13f75bc",
|
|||
X"170c7382",
|
|||
X"c0170c81",
|
|||
X"0b82c417",
|
|||
X"0c810b82",
|
|||
X"c8170c73",
|
|||
X"82cc170c",
|
|||
X"ff1782d0",
|
|||
X"17555781",
|
|||
X"913983e0",
|
|||
X"ac337082",
|
|||
X"2a708106",
|
|||
X"51545572",
|
|||
X"81803874",
|
|||
X"812a8106",
|
|||
X"587780f6",
|
|||
X"3874842a",
|
|||
X"810682c4",
|
|||
X"150c83e0",
|
|||
X"ac338106",
|
|||
X"82c8150c",
|
|||
X"79527351",
|
|||
X"a5c83f73",
|
|||
X"51a5df3f",
|
|||
177 | markw | X"83e08008",
|
|
426 | markw | X"1453af73",
|
|
X"70810555",
|
|||
X"3472bc15",
|
|||
X"0c83e0ad",
|
|||
X"527251a5",
|
|||
X"a93f83e0",
|
|||
X"a40882c0",
|
|||
X"150c83e0",
|
|||
X"ba5280c0",
|
|||
X"1451a596",
|
|||
X"3f78802e",
|
|||
X"8d387351",
|
|||
X"782d83e0",
|
|||
X"8008802e",
|
|||
X"99387782",
|
|||
X"cc150c75",
|
|||
X"802e8638",
|
|||
310 | markw | X"7382cc17",
|
|
426 | markw | X"0c7382d0",
|
|
X"15ff1959",
|
|||
X"55567680",
|
|||
X"2e9b3883",
|
|||
X"e0a45283",
|
|||
X"e2c45194",
|
|||
X"ab3f83e0",
|
|||
X"80088a38",
|
|||
X"83e0ad33",
|
|||
X"5372fed2",
|
|||
X"3878802e",
|
|||
X"893883e0",
|
|||
X"a00851fc",
|
|||
X"b83f83e0",
|
|||
X"a0085372",
|
|||
310 | markw | X"83e0800c",
|
|
426 | markw | X"8b3d0d04",
|
|
X"ff3d0d80",
|
|||
X"527351fd",
|
|||
X"b53f833d",
|
|||
X"0d04f03d",
|
|||
X"0d627052",
|
|||
X"54f6893f",
|
|||
X"83e08008",
|
|||
X"7453873d",
|
|||
X"70535555",
|
|||
X"f6a93ff7",
|
|||
X"893f7351",
|
|||
X"d33f6353",
|
|||
X"745283e0",
|
|||
X"800851fa",
|
|||
X"b83f923d",
|
|||
X"0d047183",
|
|||
X"e0800c04",
|
|||
X"80c01283",
|
|||
X"e0800c04",
|
|||
X"803d0d72",
|
|||
X"82c01108",
|
|||
310 | markw | X"83e0800c",
|
|
426 | markw | X"51823d0d",
|
|
310 | markw | X"04803d0d",
|
|
426 | markw | X"7282cc11",
|
|
310 | markw | X"0883e080",
|
|
X"0c51823d",
|
|||
X"0d04803d",
|
|||
426 | markw | X"0d7282c4",
|
|
310 | markw | X"110883e0",
|
|
X"800c5182",
|
|||
426 | markw | X"3d0d04f9",
|
|
X"3d0d7983",
|
|||
X"e0900857",
|
|||
X"57817727",
|
|||
X"81963876",
|
|||
X"88170827",
|
|||
X"818e3875",
|
|||
X"33557482",
|
|||
X"2e893874",
|
|||
X"832eb338",
|
|||
X"80fe3974",
|
|||
X"54761083",
|
|||
X"fe065376",
|
|||
X"882a8c17",
|
|||
X"08055289",
|
|||
X"3dfc0551",
|
|||
X"aa823f83",
|
|||
X"e0800880",
|
|||
X"df38029d",
|
|||
X"0533893d",
|
|||
X"3371882b",
|
|||
X"07565680",
|
|||
X"d1398454",
|
|||
X"76822b83",
|
|||
X"fc065376",
|
|||
X"872a8c17",
|
|||
X"08055289",
|
|||
X"3dfc0551",
|
|||
X"a9d23f83",
|
|||
X"e08008b0",
|
|||
X"38029f05",
|
|||
X"33028405",
|
|||
X"9e053371",
|
|||
X"982b7190",
|
|||
X"2b07028c",
|
|||
X"059d0533",
|
|||
X"70882b72",
|
|||
X"078d3d33",
|
|||
X"7180ffff",
|
|||
X"fe800607",
|
|||
X"51525357",
|
|||
X"58568339",
|
|||
X"81557483",
|
|||
X"e0800c89",
|
|||
X"3d0d04fb",
|
|||
X"3d0d83e0",
|
|||
X"9008fe19",
|
|||
X"881208fe",
|
|||
X"05555654",
|
|||
X"80567473",
|
|||
X"278d3882",
|
|||
X"14337571",
|
|||
X"29941608",
|
|||
X"05575375",
|
|||
310 | markw | X"83e0800c",
|
|
426 | markw | X"873d0d04",
|
|
X"fc3d0d76",
|
|||
X"52800b83",
|
|||
X"e0900870",
|
|||
X"33515253",
|
|||
X"70832e09",
|
|||
X"81069138",
|
|||
X"95123394",
|
|||
X"13337198",
|
|||
X"2b71902b",
|
|||
X"07555551",
|
|||
X"9b12339a",
|
|||
X"13337188",
|
|||
X"2b077407",
|
|||
X"83e0800c",
|
|||
X"55863d0d",
|
|||
310 | markw | X"04fc3d0d",
|
|
426 | markw | X"7683e090",
|
|
X"08555580",
|
|||
X"75238815",
|
|||
X"08537281",
|
|||
X"2e883888",
|
|||
X"14087326",
|
|||
X"85388152",
|
|||
X"b2397290",
|
|||
X"38733352",
|
|||
X"71832e09",
|
|||
X"81068538",
|
|||
X"90140853",
|
|||
X"728c160c",
|
|||
X"72802e8d",
|
|||
X"387251fe",
|
|||
X"d63f83e0",
|
|||
X"80085285",
|
|||
X"39901408",
|
|||
X"52719016",
|
|||
X"0c805271",
|
|||
X"83e0800c",
|
|||
X"863d0d04",
|
|||
X"fa3d0d78",
|
|||
310 | markw | X"83e09008",
|
|
426 | markw | X"71228105",
|
|
X"7083ffff",
|
|||
X"06575457",
|
|||
X"5573802e",
|
|||
X"88389015",
|
|||
X"08537286",
|
|||
X"38835280",
|
|||
X"e739738f",
|
|||
X"06527180",
|
|||
X"da388113",
|
|||
X"90160c8c",
|
|||
310 | markw | X"15085372",
|
|
426 | markw | X"9038830b",
|
|
X"84172257",
|
|||
X"52737627",
|
|||
X"80c638bf",
|
|||
X"39821633",
|
|||
X"ff057484",
|
|||
X"2a065271",
|
|||
X"b2387251",
|
|||
X"fcb13f81",
|
|||
X"527183e0",
|
|||
X"800827a8",
|
|||
X"38835283",
|
|||
X"e0800888",
|
|||
X"1708279c",
|
|||
X"3883e080",
|
|||
X"088c160c",
|
|||
X"83e08008",
|
|||
X"51fdbc3f",
|
|||
X"83e08008",
|
|||
X"90160c73",
|
|||
X"75238052",
|
|||
310 | markw | X"7183e080",
|
|
426 | markw | X"0c883d0d",
|
|
X"04f23d0d",
|
|||
X"60626458",
|
|||
X"5e5b7533",
|
|||
X"5574a02e",
|
|||
X"09810688",
|
|||
X"38811670",
|
|||
X"4456ef39",
|
|||
X"62703356",
|
|||
X"5674af2e",
|
|||
X"09810684",
|
|||
X"38811643",
|
|||
X"800b881c",
|
|||
X"0c627033",
|
|||
X"5155749f",
|
|||
X"2691387a",
|
|||
X"51fdd23f",
|
|||
177 | markw | X"83e08008",
|
|
426 | markw | X"56807d34",
|
|
X"83813993",
|
|||
X"3d841c08",
|
|||
X"7058595f",
|
|||
X"8a55a076",
|
|||
X"70810558",
|
|||
X"34ff1555",
|
|||
X"74ff2e09",
|
|||
X"8106ef38",
|
|||
X"80705a5c",
|
|||
X"887f085f",
|
|||
X"5a7b811d",
|
|||
X"7081ff06",
|
|||
X"60137033",
|
|||
X"70af3270",
|
|||
X"30a07327",
|
|||
X"71802507",
|
|||
X"5151525b",
|
|||
X"535e5755",
|
|||
X"7480e738",
|
|||
X"76ae2e09",
|
|||
X"81068338",
|
|||
X"8155787a",
|
|||
X"27750755",
|
|||
X"74802e9f",
|
|||
X"38798832",
|
|||
X"703078ae",
|
|||
X"32703070",
|
|||
X"73079f2a",
|
|||
X"53515751",
|
|||
X"5675bb38",
|
|||
X"88598b5a",
|
|||
X"ffab3976",
|
|||
X"982b5574",
|
|||
X"80258738",
|
|||
X"80eb8017",
|
|||
X"3357ff9f",
|
|||
X"17557499",
|
|||
X"268938e0",
|
|||
X"177081ff",
|
|||
X"06585578",
|
|||
X"811a7081",
|
|||
X"ff06721b",
|
|||
X"535b5755",
|
|||
X"767534fe",
|
|||
X"f8397b1e",
|
|||
X"7f0c8055",
|
|||
X"76a02683",
|
|||
X"38815574",
|
|||
X"8b19347a",
|
|||
X"51fc823f",
|
|||
X"83e08008",
|
|||
X"80f538a0",
|
|||
X"547a2270",
|
|||
X"852b83e0",
|
|||
X"06545590",
|
|||
X"1b08527c",
|
|||
X"51a48d3f",
|
|||
X"83e08008",
|
|||
X"5783e080",
|
|||
X"08818138",
|
|||
X"7c335574",
|
|||
X"802e80f4",
|
|||
X"388b1d33",
|
|||
X"70832a70",
|
|||
X"81065156",
|
|||
X"5674b438",
|
|||
X"8b7d841d",
|
|||
X"0883e080",
|
|||
X"08595b5b",
|
|||
X"58ff1858",
|
|||
X"77ff2e9a",
|
|||
X"38797081",
|
|||
X"055b3379",
|
|||
X"7081055b",
|
|||
X"33717131",
|
|||
X"52565675",
|
|||
X"802ee238",
|
|||
X"86397580",
|
|||
X"2e96387a",
|
|||
X"51fbe53f",
|
|||
X"ff863983",
|
|||
X"e0800856",
|
|||
X"83e08008",
|
|||
X"b6388339",
|
|||
X"7656841b",
|
|||
X"088b1133",
|
|||
X"515574a7",
|
|||
X"388b1d33",
|
|||
X"70842a70",
|
|||
X"81065156",
|
|||
X"56748938",
|
|||
X"83569439",
|
|||
X"81569039",
|
|||
X"7c51fa94",
|
|||
198 | markw | X"3f83e080",
|
|
426 | markw | X"08881c0c",
|
|
X"fd813975",
|
|||
X"83e0800c",
|
|||
X"903d0d04",
|
|||
X"f83d0d7a",
|
|||
X"7c595782",
|
|||
X"5483fe53",
|
|||
X"77527651",
|
|||
X"a2d23f83",
|
|||
310 | markw | X"5683e080",
|
|
426 | markw | X"0880ec38",
|
|
X"81173377",
|
|||
X"3371882b",
|
|||
X"07565682",
|
|||
X"567482d4",
|
|||
X"d52e0981",
|
|||
X"0680d438",
|
|||
X"7554b653",
|
|||
X"77527651",
|
|||
X"a2a63f83",
|
|||
X"e0800898",
|
|||
310 | markw | X"38811733",
|
|
X"77337188",
|
|||
426 | markw | X"2b0783e0",
|
|
X"80085256",
|
|||
X"56748182",
|
|||
X"c62eac38",
|
|||
X"825480d2",
|
|||
310 | markw | X"53775276",
|
|
426 | markw | X"51a1fd3f",
|
|
310 | markw | X"83e08008",
|
|
X"98388117",
|
|||
X"33773371",
|
|||
X"882b0783",
|
|||
X"e0800852",
|
|||
X"56567481",
|
|||
426 | markw | X"82c62e83",
|
|
X"38815675",
|
|||
X"83e0800c",
|
|||
X"8a3d0d04",
|
|||
X"eb3d0d67",
|
|||
X"5a800b83",
|
|||
X"e0900ca1",
|
|||
X"9f3f83e0",
|
|||
X"80088106",
|
|||
X"55825674",
|
|||
X"83ef3874",
|
|||
X"75538f3d",
|
|||
X"70535759",
|
|||
X"feca3f83",
|
|||
310 | markw | X"e0800881",
|
|
426 | markw | X"ff065776",
|
|
X"812e0981",
|
|||
X"0680d438",
|
|||
X"905483be",
|
|||
X"53745275",
|
|||
X"51a1913f",
|
|||
310 | markw | X"83e08008",
|
|
426 | markw | X"80c9388f",
|
|
X"3d335574",
|
|||
X"802e80c9",
|
|||
X"3802bf05",
|
|||
X"33028405",
|
|||
X"be053371",
|
|||
X"982b7190",
|
|||
X"2b07028c",
|
|||
X"05bd0533",
|
|||
310 | markw | X"70882b72",
|
|
426 | markw | X"07953d33",
|
|
X"71077058",
|
|||
X"7b575e52",
|
|||
X"5e575957",
|
|||
X"fdee3f83",
|
|||
X"e0800881",
|
|||
X"ff065776",
|
|||
X"832e0981",
|
|||
X"06863881",
|
|||
X"5682f239",
|
|||
X"76802e86",
|
|||
X"38865682",
|
|||
X"e839a454",
|
|||
X"8d537852",
|
|||
X"7551a0a8",
|
|||
X"3f815683",
|
|||
X"e0800882",
|
|||
X"d43802be",
|
|||
310 | markw | X"05330284",
|
|
426 | markw | X"05bd0533",
|
|
310 | markw | X"71882b07",
|
|
426 | markw | X"595d77ab",
|
|
X"380280ce",
|
|||
310 | markw | X"05330284",
|
|
426 | markw | X"0580cd05",
|
|
310 | markw | X"3371982b",
|
|
X"71902b07",
|
|||
426 | markw | X"973d3370",
|
|
310 | markw | X"882b7207",
|
|
X"02940580",
|
|||
426 | markw | X"cb053371",
|
|
X"0754525e",
|
|||
X"57595602",
|
|||
X"b7053378",
|
|||
X"71290288",
|
|||
X"05b60533",
|
|||
X"028c05b5",
|
|||
X"05337188",
|
|||
X"2b07701d",
|
|||
X"707f8c05",
|
|||
X"0c5f5957",
|
|||
X"595d8e3d",
|
|||
X"33821b34",
|
|||
X"02b90533",
|
|||
X"903d3371",
|
|||
X"882b075a",
|
|||
X"5c78841b",
|
|||
X"2302bb05",
|
|||
X"33028405",
|
|||
X"ba053371",
|
|||
X"882b0756",
|
|||
X"5c74ab38",
|
|||
X"0280ca05",
|
|||
X"33028405",
|
|||
X"80c90533",
|
|||
X"71982b71",
|
|||
X"902b0796",
|
|||
X"3d337088",
|
|||
X"2b720702",
|
|||
X"940580c7",
|
|||
X"05337107",
|
|||
X"51525357",
|
|||
X"5e5c7476",
|
|||
X"31783179",
|
|||
X"842a903d",
|
|||
X"33547171",
|
|||
X"31535656",
|
|||
X"80c3cf3f",
|
|||
X"83e08008",
|
|||
X"82057088",
|
|||
X"1c0c83e0",
|
|||
X"8008e08a",
|
|||
X"05565674",
|
|||
X"83dffe26",
|
|||
X"83388257",
|
|||
X"83fff676",
|
|||
X"27853883",
|
|||
X"57893986",
|
|||
X"5676802e",
|
|||
X"80db3876",
|
|||
X"7a347683",
|
|||
X"2e098106",
|
|||
X"b0380280",
|
|||
X"d6053302",
|
|||
X"840580d5",
|
|||
310 | markw | X"05337198",
|
|
X"2b71902b",
|
|||
426 | markw | X"07993d33",
|
|
X"70882b72",
|
|||
X"07029405",
|
|||
X"80d30533",
|
|||
X"71077f90",
|
|||
X"050c525e",
|
|||
X"57585686",
|
|||
X"39771b90",
|
|||
X"1b0c841a",
|
|||
X"228c1b08",
|
|||
X"1971842a",
|
|||
X"05941c0c",
|
|||
X"5d800b81",
|
|||
X"1b347983",
|
|||
X"e0900c80",
|
|||
X"567583e0",
|
|||
X"800c973d",
|
|||
X"0d04e93d",
|
|||
X"0d83e090",
|
|||
X"08568554",
|
|||
310 | markw | X"75802e81",
|
|
426 | markw | X"8238800b",
|
|
X"81173499",
|
|||
X"3de01146",
|
|||
X"6a548a3d",
|
|||
X"705458ec",
|
|||
X"0551f6e5",
|
|||
310 | markw | X"3f83e080",
|
|
426 | markw | X"085483e0",
|
|
X"800880df",
|
|||
X"38893d33",
|
|||
310 | markw | X"5473802e",
|
|
426 | markw | X"913802ab",
|
|
310 | markw | X"05337084",
|
|
426 | markw | X"2a810651",
|
|
X"5574802e",
|
|||
X"86388354",
|
|||
X"80c13976",
|
|||
X"51f4893f",
|
|||
X"83e08008",
|
|||
X"a0170c02",
|
|||
X"bf053302",
|
|||
X"8405be05",
|
|||
X"3371982b",
|
|||
X"71902b07",
|
|||
X"028c05bd",
|
|||
X"05337088",
|
|||
X"2b720795",
|
|||
X"3d337107",
|
|||
X"9c1c0c52",
|
|||
X"78981b0c",
|
|||
X"53565957",
|
|||
X"810b8117",
|
|||
X"34745473",
|
|||
X"83e0800c",
|
|||
X"993d0d04",
|
|||
X"f53d0d7d",
|
|||
X"7f617283",
|
|||
X"e090085a",
|
|||
X"5d5d595c",
|
|||
X"807b0c85",
|
|||
X"5775802e",
|
|||
X"81e03881",
|
|||
X"16338106",
|
|||
X"55845774",
|
|||
X"802e81d2",
|
|||
X"38913974",
|
|||
X"81173486",
|
|||
X"39800b81",
|
|||
X"17348157",
|
|||
X"81c0399c",
|
|||
X"16089817",
|
|||
X"08315574",
|
|||
X"78278338",
|
|||
X"74587780",
|
|||
X"2e81a938",
|
|||
X"98160870",
|
|||
X"83ff0656",
|
|||
X"577480cf",
|
|||
X"38821633",
|
|||
X"ff057789",
|
|||
X"2a067081",
|
|||
X"ff065a55",
|
|||
X"78a03876",
|
|||
X"8738a016",
|
|||
X"08558d39",
|
|||
X"a4160851",
|
|||
X"f0e93f83",
|
|||
310 | markw | X"e0800855",
|
|
426 | markw | X"817527ff",
|
|
X"a83874a4",
|
|||
X"170ca416",
|
|||
X"0851f283",
|
|||
X"3f83e080",
|
|||
X"085583e0",
|
|||
X"8008802e",
|
|||
X"ff893883",
|
|||
X"e0800819",
|
|||
X"a8170c98",
|
|||
X"160883ff",
|
|||
X"06848071",
|
|||
X"31515577",
|
|||
X"75278338",
|
|||
X"77557483",
|
|||
X"ffff0654",
|
|||
X"98160883",
|
|||
X"ff0653a8",
|
|||
X"16085279",
|
|||
X"577b8338",
|
|||
X"7b577651",
|
|||
X"9ace3f83",
|
|||
X"e08008fe",
|
|||
X"d0389816",
|
|||
X"08159817",
|
|||
X"0c741a78",
|
|||
X"76317c08",
|
|||
X"177d0c59",
|
|||
X"5afed339",
|
|||
X"80577683",
|
|||
X"e0800c8d",
|
|||
X"3d0d04fa",
|
|||
X"3d0d7883",
|
|||
X"e0900855",
|
|||
X"56855573",
|
|||
X"802e81e1",
|
|||
X"38811433",
|
|||
X"81065384",
|
|||
X"5572802e",
|
|||
X"81d3389c",
|
|||
X"14085372",
|
|||
X"76278338",
|
|||
X"72569814",
|
|||
X"0857800b",
|
|||
X"98150c75",
|
|||
X"802e81b7",
|
|||
X"38821433",
|
|||
X"70892b56",
|
|||
X"5376802e",
|
|||
X"b5387452",
|
|||
X"ff1651be",
|
|||
X"d13f83e0",
|
|||
X"8008ff18",
|
|||
X"76547053",
|
|||
X"5853bec2",
|
|||
X"3f83e080",
|
|||
X"08732696",
|
|||
X"38743070",
|
|||
X"78067098",
|
|||
X"170c7771",
|
|||
X"31a41708",
|
|||
X"52585153",
|
|||
X"8939a014",
|
|||
X"0870a416",
|
|||
X"0c537476",
|
|||
X"27b93872",
|
|||
X"51eed83f",
|
|||
310 | markw | X"83e08008",
|
|
426 | markw | X"53810b83",
|
|
X"e0800827",
|
|||
X"8b388814",
|
|||
X"0883e080",
|
|||
X"08268838",
|
|||
X"800b8115",
|
|||
X"34b03983",
|
|||
X"e08008a4",
|
|||
X"150c9814",
|
|||
X"08159815",
|
|||
X"0c757531",
|
|||
X"56c43998",
|
|||
X"14081670",
|
|||
X"98160c73",
|
|||
X"5256efc7",
|
|||
310 | markw | X"3f83e080",
|
|
426 | markw | X"088c3883",
|
|
X"e0800881",
|
|||
X"15348155",
|
|||
X"94398214",
|
|||
X"33ff0576",
|
|||
X"892a0683",
|
|||
X"e0800805",
|
|||
X"a8150c80",
|
|||
X"557483e0",
|
|||
X"800c883d",
|
|||
X"0d04ef3d",
|
|||
X"0d635685",
|
|||
X"5583e090",
|
|||
X"08802e80",
|
|||
X"d238933d",
|
|||
X"f4058417",
|
|||
X"0c645388",
|
|||
X"3d705376",
|
|||
X"5257f1d1",
|
|||
310 | markw | X"3f83e080",
|
|
426 | markw | X"085583e0",
|
|
X"8008b438",
|
|||
X"883d3354",
|
|||
X"73802ea1",
|
|||
X"3802a705",
|
|||
X"3370842a",
|
|||
X"70810651",
|
|||
X"55558355",
|
|||
X"73802e97",
|
|||
X"387651ee",
|
|||
X"f73f83e0",
|
|||
X"80088817",
|
|||
X"0c7551ef",
|
|||
X"a83f83e0",
|
|||
X"80085574",
|
|||
X"83e0800c",
|
|||
X"933d0d04",
|
|||
X"e43d0d6e",
|
|||
X"a13d0840",
|
|||
X"5e855683",
|
|||
X"e0900880",
|
|||
X"2e848538",
|
|||
X"9e3df405",
|
|||
X"841f0c7e",
|
|||
X"98387d51",
|
|||
X"eef73f83",
|
|||
X"e0800856",
|
|||
X"83ee3981",
|
|||
X"4181f639",
|
|||
X"834181f1",
|
|||
X"39933d7f",
|
|||
X"96054159",
|
|||
X"807f8295",
|
|||
X"055e5675",
|
|||
X"6081ff05",
|
|||
X"34834190",
|
|||
X"1e08762e",
|
|||
X"81d338a0",
|
|||
X"547d2270",
|
|||
X"852b83e0",
|
|||
X"06545890",
|
|||
X"1e085278",
|
|||
X"5196d93f",
|
|||
X"83e08008",
|
|||
X"4183e080",
|
|||
X"08ffb838",
|
|||
X"78335c7b",
|
|||
X"802effb4",
|
|||
X"388b1933",
|
|||
X"70bf0671",
|
|||
X"81065243",
|
|||
X"5574802e",
|
|||
X"80de387b",
|
|||
X"81bf0655",
|
|||
X"748f2480",
|
|||
X"d3389a19",
|
|||
X"33557480",
|
|||
X"cb38f31d",
|
|||
X"70585d81",
|
|||
X"56758b2e",
|
|||
X"09810685",
|
|||
X"388e568b",
|
|||
X"39759a2e",
|
|||
X"09810683",
|
|||
X"389c5675",
|
|||
X"19707081",
|
|||
X"05523371",
|
|||
X"33811a82",
|
|||
X"1a5f5b52",
|
|||
X"5b557486",
|
|||
X"38797734",
|
|||
X"853980df",
|
|||
X"7734777b",
|
|||
X"57577aa0",
|
|||
310 | markw | X"2e098106",
|
|
426 | markw | X"c0388156",
|
|
X"7b81e532",
|
|||
X"7030709f",
|
|||
X"2a515155",
|
|||
X"7bae2e93",
|
|||
X"3874802e",
|
|||
X"8e386183",
|
|||
X"2a708106",
|
|||
X"51557480",
|
|||
X"2e97387d",
|
|||
X"51ede13f",
|
|||
X"83e08008",
|
|||
X"4183e080",
|
|||
X"08873890",
|
|||
X"1e08feaf",
|
|||
X"38806034",
|
|||
X"75802e88",
|
|||
X"387c527f",
|
|||
X"518dff3f",
|
|||
X"60802e86",
|
|||
X"38800b90",
|
|||
X"1f0c6056",
|
|||
X"60832e85",
|
|||
X"386081d0",
|
|||
X"38891f57",
|
|||
X"901e0880",
|
|||
X"2e81a838",
|
|||
X"80567519",
|
|||
X"70335155",
|
|||
X"74a02ea0",
|
|||
X"3874852e",
|
|||
X"09810684",
|
|||
X"3881e555",
|
|||
X"74777081",
|
|||
X"05593481",
|
|||
X"167081ff",
|
|||
X"06575587",
|
|||
X"7627d738",
|
|||
X"88193355",
|
|||
X"74a02ea9",
|
|||
X"38ae7770",
|
|||
310 | markw | X"81055934",
|
|
426 | markw | X"88567519",
|
|
X"70335155",
|
|||
X"74a02e95",
|
|||
X"38747770",
|
|||
X"81055934",
|
|||
310 | markw | X"81167081",
|
|
X"ff065755",
|
|||
426 | markw | X"8a7627e2",
|
|
X"388b1933",
|
|||
X"7f880534",
|
|||
X"9f19339e",
|
|||
X"1a337198",
|
|||
X"2b71902b",
|
|||
X"079d1c33",
|
|||
X"70882b72",
|
|||
X"079c1e33",
|
|||
X"7107640c",
|
|||
X"52991d33",
|
|||
X"981e3371",
|
|||
X"882b0753",
|
|||
X"51535759",
|
|||
X"56747f84",
|
|||
X"05239719",
|
|||
X"33961a33",
|
|||
310 | markw | X"71882b07",
|
|
426 | markw | X"5656747f",
|
|
X"86052380",
|
|||
X"77347d51",
|
|||
X"ebf23f83",
|
|||
X"e0800883",
|
|||
X"32703070",
|
|||
X"72079f2c",
|
|||
310 | markw | X"83e08008",
|
|
426 | markw | X"06525656",
|
|
X"961f3355",
|
|||
X"748a3889",
|
|||
X"1f52961f",
|
|||
X"518c8b3f",
|
|||
X"7583e080",
|
|||
X"0c9e3d0d",
|
|||
X"04f43d0d",
|
|||
X"7e8f3dec",
|
|||
X"11565658",
|
|||
X"9053f015",
|
|||
X"527751e0",
|
|||
X"d43f83e0",
|
|||
X"800880d6",
|
|||
X"3878902e",
|
|||
X"09810680",
|
|||
X"cd3802ab",
|
|||
X"053380ef",
|
|||
X"b80b80ef",
|
|||
X"b8335758",
|
|||
X"568c3974",
|
|||
X"762e8a38",
|
|||
X"84177033",
|
|||
X"565774f3",
|
|||
X"38763370",
|
|||
X"57557480",
|
|||
X"2eac3882",
|
|||
X"1722708a",
|
|||
X"2b903dec",
|
|||
X"05567055",
|
|||
X"56569680",
|
|||
X"0a527751",
|
|||
X"e0833f83",
|
|||
X"e0800886",
|
|||
X"3878752e",
|
|||
X"85388056",
|
|||
X"85398117",
|
|||
X"33567583",
|
|||
X"e0800c8e",
|
|||
X"3d0d04fc",
|
|||
X"3d0d7670",
|
|||
X"52558b92",
|
|||
X"3f83e080",
|
|||
X"0815ff05",
|
|||
X"5473752e",
|
|||
X"8e387333",
|
|||
X"5372ae2e",
|
|||
X"8638ff14",
|
|||
X"54ef3977",
|
|||
X"52811451",
|
|||
X"8aaa3f83",
|
|||
X"e0800830",
|
|||
X"7083e080",
|
|||
X"08078025",
|
|||
310 | markw | X"83e0800c",
|
|
426 | markw | X"53863d0d",
|
|
X"04fc3d0d",
|
|||
X"76705255",
|
|||
X"e6f03f83",
|
|||
X"e0800854",
|
|||
X"815383e0",
|
|||
X"800880c1",
|
|||
X"387451e6",
|
|||
X"b33f83e0",
|
|||
X"800880ed",
|
|||
X"c85383e0",
|
|||
X"80085253",
|
|||
X"ff913f83",
|
|||
X"e08008a1",
|
|||
386 | markw | X"3880edcc",
|
|
426 | markw | X"527251ff",
|
|
X"823f83e0",
|
|||
X"80089238",
|
|||
X"80edd052",
|
|||
X"7251fef3",
|
|||
198 | markw | X"3f83e080",
|
|
426 | markw | X"08802e83",
|
|
X"38815473",
|
|||
X"537283e0",
|
|||
X"800c863d",
|
|||
X"0d04fd3d",
|
|||
X"0d757052",
|
|||
X"54e68f3f",
|
|||
X"815383e0",
|
|||
X"80089838",
|
|||
X"7351e5d8",
|
|||
X"3f83e388",
|
|||
X"085283e0",
|
|||
X"800851fe",
|
|||
X"ba3f83e0",
|
|||
X"80085372",
|
|||
X"83e0800c",
|
|||
X"853d0d04",
|
|||
X"df3d0da4",
|
|||
X"3d087052",
|
|||
X"5edbf63f",
|
|||
193 | markw | X"83e08008",
|
|
426 | markw | X"33953d56",
|
|
X"54739638",
|
|||
X"80f0c052",
|
|||
X"7451898a",
|
|||
X"3f9a397d",
|
|||
X"527851de",
|
|||
X"fe3f84cd",
|
|||
X"397d51db",
|
|||
X"dc3f83e0",
|
|||
X"80085274",
|
|||
X"51db8c3f",
|
|||
X"80438042",
|
|||
X"80418040",
|
|||
X"83e39008",
|
|||
X"52943d70",
|
|||
X"525de1e6",
|
|||
X"3f83e080",
|
|||
X"0859800b",
|
|||
177 | markw | X"83e08008",
|
|
426 | markw | X"555b83e0",
|
|
X"80087b2e",
|
|||
X"9438811b",
|
|||
X"74525be4",
|
|||
X"e83f83e0",
|
|||
X"80085483",
|
|||
X"e08008ee",
|
|||
X"38805aff",
|
|||
X"5f790970",
|
|||
X"9f2c7b06",
|
|||
X"5b547a7a",
|
|||
X"248438ff",
|
|||
X"1b5af61a",
|
|||
X"7009709f",
|
|||
X"2c72067b",
|
|||
X"ff125a5a",
|
|||
X"52555580",
|
|||
X"75259538",
|
|||
X"7651e4ad",
|
|||
X"3f83e080",
|
|||
X"0876ff18",
|
|||
X"58555773",
|
|||
X"8024ed38",
|
|||
X"747f2e86",
|
|||
X"38a0ca3f",
|
|||
X"745f78ff",
|
|||
X"1b70585d",
|
|||
X"58807a25",
|
|||
X"95387751",
|
|||
X"e4833f83",
|
|||
X"e0800876",
|
|||
X"ff185855",
|
|||
X"58738024",
|
|||
X"ed38800b",
|
|||
X"83e7c00c",
|
|||
X"800b83e7",
|
|||
X"e80c80ed",
|
|||
X"d4518d89",
|
|||
X"3f81800b",
|
|||
X"83e7e80c",
|
|||
X"80eddc51",
|
|||
X"8cfb3fa8",
|
|||
351 | markw | X"0b83e7c0",
|
|
426 | markw | X"0c76802e",
|
|
X"80e43883",
|
|||
X"e7c00877",
|
|||
X"79327030",
|
|||
X"70720780",
|
|||
X"2570872b",
|
|||
X"83e7e80c",
|
|||
X"51567853",
|
|||
X"5656e3ba",
|
|||
X"3f83e080",
|
|||
X"08802e88",
|
|||
X"3880ede4",
|
|||
X"518cc23f",
|
|||
X"7651e2fc",
|
|||
X"3f83e080",
|
|||
X"085280ee",
|
|||
X"f8518cb1",
|
|||
X"3f7651e3",
|
|||
X"843f83e0",
|
|||
X"800883e7",
|
|||
X"c0085557",
|
|||
X"75742586",
|
|||
X"38a81656",
|
|||
X"f7397583",
|
|||
X"e7c00c86",
|
|||
X"f07624ff",
|
|||
X"98388798",
|
|||
X"0b83e7c0",
|
|||
X"0c77802e",
|
|||
X"b1387751",
|
|||
X"e2ba3f83",
|
|||
X"e0800878",
|
|||
X"5255e2da",
|
|||
X"3f80edec",
|
|||
X"5483e080",
|
|||
X"088d3887",
|
|||
X"39807634",
|
|||
X"81ce3980",
|
|||
X"ede85474",
|
|||
X"53735280",
|
|||
X"edbc518b",
|
|||
X"d03f8054",
|
|||
X"80edc451",
|
|||
X"8bc73f81",
|
|||
X"145473a8",
|
|||
X"2e098106",
|
|||
X"ef38868d",
|
|||
X"a0519cbe",
|
|||
X"3f805290",
|
|||
X"3d705257",
|
|||
X"b1863f83",
|
|||
X"527651b0",
|
|||
X"ff3f6281",
|
|||
X"8f386180",
|
|||
X"2e80fb38",
|
|||
X"7b5473ff",
|
|||
X"2e963878",
|
|||
X"802e8189",
|
|||
310 | markw | X"387851e1",
|
|
426 | markw | X"e03f83e0",
|
|
X"8008ff15",
|
|||
X"5559e739",
|
|||
X"78802e80",
|
|||
X"f4387851",
|
|||
X"e1dc3f83",
|
|||
X"e0800880",
|
|||
X"2efc9038",
|
|||
X"7851e1a4",
|
|||
220 | markw | X"3f83e080",
|
|
426 | markw | X"085280ed",
|
|
X"b85183df",
|
|||
X"3f83e080",
|
|||
X"08a3387c",
|
|||
X"5185973f",
|
|||
X"83e08008",
|
|||
X"5574ff16",
|
|||
X"56548074",
|
|||
X"25ae3874",
|
|||
X"1d703355",
|
|||
X"5673af2e",
|
|||
X"fecf38e9",
|
|||
X"397851e0",
|
|||
X"e53f83e0",
|
|||
X"8008527c",
|
|||
X"5184cf3f",
|
|||
X"8f397f88",
|
|||
X"29601005",
|
|||
X"7a056105",
|
|||
X"5afc9239",
|
|||
X"62802efb",
|
|||
X"d3388052",
|
|||
X"7651afe0",
|
|||
X"3fa33d0d",
|
|||
X"04803d0d",
|
|||
X"9088b833",
|
|||
X"7081ff06",
|
|||
X"70842a81",
|
|||
X"32708106",
|
|||
X"51515151",
|
|||
X"70802e8d",
|
|||
X"38a80b90",
|
|||
X"88b834b8",
|
|||
X"0b9088b8",
|
|||
X"347083e0",
|
|||
X"800c823d",
|
|||
310 | markw | X"0d04803d",
|
|
X"0d9088b8",
|
|||
X"337081ff",
|
|||
426 | markw | X"0670852a",
|
|
310 | markw | X"81327081",
|
|
X"06515151",
|
|||
X"5170802e",
|
|||
426 | markw | X"8d38980b",
|
|
283 | markw | X"9088b834",
|
|
310 | markw | X"b80b9088",
|
|
X"b8347083",
|
|||
X"e0800c82",
|
|||
426 | markw | X"3d0d0493",
|
|
X"0b9088bc",
|
|||
X"34ff0b90",
|
|||
X"88a83404",
|
|||
X"ff3d0d02",
|
|||
X"8f053352",
|
|||
X"800b9088",
|
|||
X"bc348a51",
|
|||
X"9a883fdf",
|
|||
X"3f80f80b",
|
|||
X"9088a034",
|
|||
X"800b9088",
|
|||
X"8834fa12",
|
|||
X"52719088",
|
|||
X"8034800b",
|
|||
X"90889834",
|
|||
X"71908890",
|
|||
X"349088b8",
|
|||
X"52807234",
|
|||
X"b8723483",
|
|||
220 | markw | X"3d0d0480",
|
|
426 | markw | X"3d0d028b",
|
|
X"05335170",
|
|||
X"9088b434",
|
|||
X"febf3f83",
|
|||
X"e0800880",
|
|||
X"2ef63882",
|
|||
X"3d0d0480",
|
|||
X"3d0d8439",
|
|||
X"a7a13ffe",
|
|||
X"d93f83e0",
|
|||
X"8008802e",
|
|||
X"f3389088",
|
|||
X"b4337081",
|
|||
X"ff0683e0",
|
|||
X"800c5182",
|
|||
X"3d0d0480",
|
|||
X"3d0da30b",
|
|||
X"9088bc34",
|
|||
X"ff0b9088",
|
|||
X"a8349088",
|
|||
X"b851a871",
|
|||
X"34b87134",
|
|||
X"823d0d04",
|
|||
X"803d0d90",
|
|||
X"88bc3370",
|
|||
X"982b7080",
|
|||
X"2583e080",
|
|||
X"0c515182",
|
|||
X"3d0d0480",
|
|||
283 | markw | X"3d0d9088",
|
|
X"b8337081",
|
|||
426 | markw | X"ff067083",
|
|
220 | markw | X"2a813270",
|
|
X"81065151",
|
|||
X"51517080",
|
|||
426 | markw | X"2ee838b0",
|
|
283 | markw | X"0b9088b8",
|
|
220 | markw | X"34b80b90",
|
|
426 | markw | X"88b83482",
|
|
X"3d0d0480",
|
|||
X"3d0d9080",
|
|||
X"ac088106",
|
|||
220 | markw | X"83e0800c",
|
|
X"823d0d04",
|
|||
X"fd3d0d75",
|
|||
426 | markw | X"77545480",
|
|
X"73259438",
|
|||
X"73708105",
|
|||
X"55335280",
|
|||
X"edf05187",
|
|||
X"843fff13",
|
|||
X"53e93985",
|
|||
X"3d0d04fd",
|
|||
X"3d0d7577",
|
|||
X"53547333",
|
|||
X"51708938",
|
|||
X"71335170",
|
|||
X"802ea138",
|
|||
X"73337233",
|
|||
X"52537271",
|
|||
X"278538ff",
|
|||
X"51943970",
|
|||
X"73278538",
|
|||
X"81518b39",
|
|||
X"81148113",
|
|||
X"5354d339",
|
|||
X"80517083",
|
|||
X"e0800c85",
|
|||
X"3d0d04fd",
|
|||
X"3d0d7577",
|
|||
X"54547233",
|
|||
X"7081ff06",
|
|||
X"52527080",
|
|||
X"2ea33871",
|
|||
X"81ff0681",
|
|||
X"14ffbf12",
|
|||
X"53545270",
|
|||
X"99268938",
|
|||
X"a0127081",
|
|||
X"ff065351",
|
|||
X"71747081",
|
|||
X"055634d2",
|
|||
X"39807434",
|
|||
310 | markw | X"853d0d04",
|
|
426 | markw | X"ffbd3d0d",
|
|
X"80c63d08",
|
|||
X"52a53d70",
|
|||
X"5254ffb3",
|
|||
X"3f80c73d",
|
|||
X"0852853d",
|
|||
X"705253ff",
|
|||
X"a63f7252",
|
|||
X"7351fedf",
|
|||
X"3f80c53d",
|
|||
X"0d04fe3d",
|
|||
X"0d747653",
|
|||
X"53717081",
|
|||
X"05533351",
|
|||
X"70737081",
|
|||
X"05553470",
|
|||
X"f038843d",
|
|||
X"0d04fe3d",
|
|||
X"0d745280",
|
|||
X"72335253",
|
|||
X"70732e8d",
|
|||
X"38811281",
|
|||
X"14713353",
|
|||
X"545270f5",
|
|||
X"387283e0",
|
|||
X"800c843d",
|
|||
X"0d04f63d",
|
|||
X"0d7c7e60",
|
|||
X"625a5d5b",
|
|||
X"56805981",
|
|||
X"55853974",
|
|||
X"7a295574",
|
|||
X"527551ab",
|
|||
X"ad3f83e0",
|
|||
X"80087a27",
|
|||
X"ee387480",
|
|||
X"2e80dd38",
|
|||
177 | markw | X"74527551",
|
|
426 | markw | X"ab983f83",
|
|
X"e0800875",
|
|||
X"53765254",
|
|||
X"abbf3f83",
|
|||
220 | markw | X"e080087a",
|
|
426 | markw | X"53755256",
|
|
X"ab803f83",
|
|||
X"e0800879",
|
|||
X"30707b07",
|
|||
X"9f2a7077",
|
|||
X"80240751",
|
|||
X"51545572",
|
|||
X"873883e0",
|
|||
X"8008c538",
|
|||
X"768118b0",
|
|||
X"16555858",
|
|||
X"8974258b",
|
|||
X"38b71453",
|
|||
X"7a853880",
|
|||
X"d7145372",
|
|||
X"78348119",
|
|||
X"59ff9f39",
|
|||
X"8077348c",
|
|||
X"3d0d04f7",
|
|||
X"3d0d7b7d",
|
|||
X"7f620290",
|
|||
X"05bb0533",
|
|||
X"5759565a",
|
|||
X"5ab05872",
|
|||
X"8338a058",
|
|||
X"75707081",
|
|||
X"05523371",
|
|||
X"59545590",
|
|||
X"39807425",
|
|||
X"8e38ff14",
|
|||
X"77708105",
|
|||
X"59335454",
|
|||
X"72ef3873",
|
|||
X"ff155553",
|
|||
X"80732589",
|
|||
X"38775279",
|
|||
X"51782def",
|
|||
X"39753375",
|
|||
X"57537280",
|
|||
X"2e903872",
|
|||
X"52795178",
|
|||
X"2d757081",
|
|||
X"05573353",
|
|||
X"ed398b3d",
|
|||
X"0d04ee3d",
|
|||
X"0d646669",
|
|||
X"69707081",
|
|||
X"0552335b",
|
|||
X"4a5c5e5e",
|
|||
X"76802e82",
|
|||
X"f93876a5",
|
|||
X"2e098106",
|
|||
X"82e03880",
|
|||
X"70416770",
|
|||
X"70810552",
|
|||
X"33714a59",
|
|||
X"575f76b0",
|
|||
X"2e098106",
|
|||
X"8c387570",
|
|||
220 | markw | X"81055733",
|
|
426 | markw | X"76485781",
|
|
X"5fd01756",
|
|||
X"75892680",
|
|||
X"da387667",
|
|||
X"5c59805c",
|
|||
X"9339778a",
|
|||
X"2480c338",
|
|||
X"7b8a2918",
|
|||
X"7b708105",
|
|||
X"5d335a5c",
|
|||
X"d0197081",
|
|||
X"ff065858",
|
|||
X"897727a4",
|
|||
X"38ff9f19",
|
|||
X"7081ff06",
|
|||
X"ffa91b5a",
|
|||
X"51568576",
|
|||
X"279238ff",
|
|||
X"bf197081",
|
|||
X"ff065156",
|
|||
X"7585268a",
|
|||
X"38c91958",
|
|||
X"778025ff",
|
|||
X"b9387a47",
|
|||
X"7b407881",
|
|||
X"ff065776",
|
|||
X"80e42e80",
|
|||
X"e5387680",
|
|||
X"e424a738",
|
|||
X"7680d82e",
|
|||
X"81863876",
|
|||
X"80d82490",
|
|||
X"3876802e",
|
|||
X"81cc3876",
|
|||
X"a52e81b6",
|
|||
X"3881b939",
|
|||
X"7680e32e",
|
|||
X"818c3881",
|
|||
X"af397680",
|
|||
X"f52e9b38",
|
|||
X"7680f524",
|
|||
X"8b387680",
|
|||
X"f32e8181",
|
|||
X"38819939",
|
|||
X"7680f82e",
|
|||
X"80ca3881",
|
|||
X"8f39913d",
|
|||
X"70555780",
|
|||
X"538a5279",
|
|||
220 | markw | X"841b7108",
|
|
426 | markw | X"535b56fc",
|
|
X"813f7655",
|
|||
X"ab397984",
|
|||
X"1b710894",
|
|||
X"3d705b5b",
|
|||
X"525b5675",
|
|||
X"80258c38",
|
|||
X"753056ad",
|
|||
X"78340280",
|
|||
X"c1055776",
|
|||
X"5480538a",
|
|||
X"527551fb",
|
|||
X"d53f7755",
|
|||
X"7e54b839",
|
|||
X"913d7055",
|
|||
X"7780d832",
|
|||
X"70307080",
|
|||
X"25565158",
|
|||
X"56905279",
|
|||
X"841b7108",
|
|||
X"535b57fb",
|
|||
X"b13f7555",
|
|||
X"db397984",
|
|||
X"1b831233",
|
|||
X"545b5698",
|
|||
X"3979841b",
|
|||
X"7108575b",
|
|||
X"5680547f",
|
|||
X"537c527d",
|
|||
X"51fc9c3f",
|
|||
X"87397652",
|
|||
X"7d517c2d",
|
|||
X"66703358",
|
|||
X"810547fd",
|
|||
X"8339943d",
|
|||
X"0d047283",
|
|||
X"e0940c71",
|
|||
X"83e0980c",
|
|||
X"04fb3d0d",
|
|||
X"883d7070",
|
|||
X"84055208",
|
|||
X"57547553",
|
|||
X"83e09408",
|
|||
X"5283e098",
|
|||
X"0851fcc6",
|
|||
X"3f873d0d",
|
|||
252 | markw | X"04ff3d0d",
|
|
426 | markw | X"73700853",
|
|
X"51029305",
|
|||
X"33723470",
|
|||
X"08810571",
|
|||
252 | markw | X"0c833d0d",
|
|
220 | markw | X"04fc3d0d",
|
|
426 | markw | X"873d8811",
|
|
X"557854bd",
|
|||
X"b95351fc",
|
|||
X"993f8052",
|
|||
X"873d51d1",
|
|||
X"3f863d0d",
|
|||
X"04fc3d0d",
|
|||
X"76557483",
|
|||
X"e39c082e",
|
|||
X"af388053",
|
|||
X"745187c1",
|
|||
X"3f83e080",
|
|||
X"0881ff06",
|
|||
X"ff147081",
|
|||
X"ff067230",
|
|||
X"709f2a51",
|
|||
X"52555354",
|
|||
X"72802e84",
|
|||
X"3871dd38",
|
|||
X"73fe3874",
|
|||
X"83e39c0c",
|
|||
220 | markw | X"863d0d04",
|
|
426 | markw | X"ff3d0dff",
|
|
X"0b83e39c",
|
|||
X"0c84a53f",
|
|||
X"81518785",
|
|||
220 | markw | X"3f83e080",
|
|
X"0881ff06",
|
|||
426 | markw | X"5271ee38",
|
|
X"81d33f71",
|
|||
X"83e0800c",
|
|||
X"833d0d04",
|
|||
X"fc3d0d76",
|
|||
X"028405a2",
|
|||
X"05220288",
|
|||
X"05a60522",
|
|||
X"7a545555",
|
|||
X"55ff823f",
|
|||
X"72802ea0",
|
|||
X"3883e3b0",
|
|||
X"14337570",
|
|||
X"81055734",
|
|||
X"81147083",
|
|||
X"ffff06ff",
|
|||
X"157083ff",
|
|||
X"ff065652",
|
|||
X"5552dd39",
|
|||
X"800b83e0",
|
|||
X"800c863d",
|
|||
X"0d04fc3d",
|
|||
X"0d76787a",
|
|||
X"11565355",
|
|||
X"80537174",
|
|||
X"2e933872",
|
|||
X"15517033",
|
|||
X"83e3b013",
|
|||
X"34811281",
|
|||
X"145452ea",
|
|||
X"39800b83",
|
|||
X"e0800c86",
|
|||
X"3d0d04fd",
|
|||
X"3d0d9054",
|
|||
X"83e39c08",
|
|||
X"5186f43f",
|
|||
X"83e08008",
|
|||
X"81ff06ff",
|
|||
X"15713071",
|
|||
X"30707307",
|
|||
X"9f2a729f",
|
|||
X"2a065255",
|
|||
X"52555372",
|
|||
X"db38853d",
|
|||
X"0d04803d",
|
|||
X"0d83e3a8",
|
|||
X"081083e3",
|
|||
X"a0080790",
|
|||
X"80a80c82",
|
|||
220 | markw | X"3d0d0480",
|
|
426 | markw | X"0b83e3a8",
|
|
X"0ce43f04",
|
|||
X"810b83e3",
|
|||
X"a80cdb3f",
|
|||
X"04ed3f04",
|
|||
X"7183e3a4",
|
|||
X"0c04803d",
|
|||
X"0d8051f4",
|
|||
X"3f810b83",
|
|||
X"e3a80c81",
|
|||
X"0b83e3a0",
|
|||
X"0cffbb3f",
|
|||
252 | markw | X"823d0d04",
|
|
426 | markw | X"803d0d72",
|
|
X"30707407",
|
|||
X"802583e3",
|
|||
X"a00c51ff",
|
|||
X"a53f823d",
|
|||
X"0d04803d",
|
|||
X"0d028b05",
|
|||
X"339080a4",
|
|||
X"0c9080a8",
|
|||
X"08708106",
|
|||
X"515170f5",
|
|||
X"389080a4",
|
|||
X"087081ff",
|
|||
X"0683e080",
|
|||
X"0c51823d",
|
|||
X"0d04803d",
|
|||
X"0d81ff51",
|
|||
X"d13f83e0",
|
|||
X"800881ff",
|
|||
X"0683e080",
|
|||
X"0c823d0d",
|
|||
252 | markw | X"04803d0d",
|
|
426 | markw | X"73902b73",
|
|
X"079080b4",
|
|||
X"0c823d0d",
|
|||
X"0404fb3d",
|
|||
X"0d780284",
|
|||
X"059f0533",
|
|||
X"70982b55",
|
|||
X"57557280",
|
|||
X"259b3875",
|
|||
X"80ff0656",
|
|||
X"805280f7",
|
|||
X"51e03f83",
|
|||
252 | markw | X"e0800881",
|
|
426 | markw | X"ff065473",
|
|
X"812680ff",
|
|||
X"388051fe",
|
|||
X"e73fffa2",
|
|||
X"3f8151fe",
|
|||
X"df3fff9a",
|
|||
X"3f7551fe",
|
|||
X"ed3f7498",
|
|||
X"2a51fee6",
|
|||
X"3f74902a",
|
|||
X"7081ff06",
|
|||
X"5253feda",
|
|||
X"3f74882a",
|
|||
X"7081ff06",
|
|||
X"5253fece",
|
|||
X"3f7481ff",
|
|||
X"0651fec6",
|
|||
X"3f815575",
|
|||
X"80c02e09",
|
|||
X"81068638",
|
|||
X"8195558d",
|
|||
X"397580c8",
|
|||
252 | markw | X"2e098106",
|
|
426 | markw | X"84388187",
|
|
X"557451fe",
|
|||
X"a53f8a55",
|
|||
X"fec83f83",
|
|||
220 | markw | X"e0800881",
|
|
426 | markw | X"ff067098",
|
|
X"2b545472",
|
|||
X"80258c38",
|
|||
X"ff157081",
|
|||
X"ff065653",
|
|||
X"74e23873",
|
|||
X"83e0800c",
|
|||
X"873d0d04",
|
|||
X"fa3d0dfd",
|
|||
X"c53f8051",
|
|||
X"fdda3f8a",
|
|||
X"54fe933f",
|
|||
X"ff147081",
|
|||
220 | markw | X"ff065553",
|
|
426 | markw | X"73f33873",
|
|
X"74535580",
|
|||
X"c051fea6",
|
|||
X"3f83e080",
|
|||
X"0881ff06",
|
|||
X"5473812e",
|
|||
X"09810682",
|
|||
X"9f3883aa",
|
|||
X"5280c851",
|
|||
X"fe8c3f83",
|
|||
220 | markw | X"e0800881",
|
|
X"ff065372",
|
|||
426 | markw | X"812e0981",
|
|
X"0681a838",
|
|||
X"7454873d",
|
|||
X"74115456",
|
|||
X"fdc83f83",
|
|||
X"e0800873",
|
|||
X"34811470",
|
|||
X"81ff0655",
|
|||
X"53837427",
|
|||
X"e538029a",
|
|||
X"05335372",
|
|||
X"812e0981",
|
|||
X"0681d938",
|
|||
X"029b0533",
|
|||
X"5380ce90",
|
|||
X"547281aa",
|
|||
X"2e8d3881",
|
|||
X"c73980e4",
|
|||
X"518ab33f",
|
|||
X"ff145473",
|
|||
X"802e81b8",
|
|||
X"38820a52",
|
|||
X"81e951fd",
|
|||
X"a53f83e0",
|
|||
X"800881ff",
|
|||
X"065372de",
|
|||
X"38725280",
|
|||
X"fa51fd92",
|
|||
220 | markw | X"3f83e080",
|
|
X"0881ff06",
|
|||
426 | markw | X"53728190",
|
|
X"38725473",
|
|||
X"1653fcd6",
|
|||
X"3f83e080",
|
|||
X"08733481",
|
|||
X"147081ff",
|
|||
X"06555383",
|
|||
X"7427e838",
|
|||
X"873d3370",
|
|||
X"862a7081",
|
|||
X"06515454",
|
|||
X"8c557280",
|
|||
X"e3388455",
|
|||
X"80de3974",
|
|||
X"5281e951",
|
|||
X"fccc3f83",
|
|||
X"e0800881",
|
|||
X"ff065382",
|
|||
X"5581e956",
|
|||
X"81732786",
|
|||
X"38735580",
|
|||
X"c15680ce",
|
|||
X"90548a39",
|
|||
X"80e45189",
|
|||
X"a53fff14",
|
|||
X"5473802e",
|
|||
X"a9388052",
|
|||
X"7551fc9a",
|
|||
X"3f83e080",
|
|||
X"0881ff06",
|
|||
X"5372e138",
|
|||
X"84805280",
|
|||
X"d051fc86",
|
|||
X"3f83e080",
|
|||
X"0881ff06",
|
|||
X"5372802e",
|
|||
X"83388055",
|
|||
X"7483e3ac",
|
|||
X"348051fb",
|
|||
X"873ffbc2",
|
|||
X"3f883d0d",
|
|||
X"04fb3d0d",
|
|||
X"7754800b",
|
|||
X"83e3ac33",
|
|||
X"70832a70",
|
|||
X"81065155",
|
|||
X"57557275",
|
|||
220 | markw | X"2e098106",
|
|
X"85387389",
|
|||
X"2b547352",
|
|||
426 | markw | X"80d151fb",
|
|
X"bd3f83e0",
|
|||
220 | markw | X"800881ff",
|
|
426 | markw | X"065372bd",
|
|
X"3882b8c0",
|
|||
X"54fb833f",
|
|||
220 | markw | X"83e08008",
|
|
426 | markw | X"81ff0653",
|
|
X"7281ff2e",
|
|||
X"09810689",
|
|||
X"38ff1454",
|
|||
X"73e7389f",
|
|||
X"397281fe",
|
|||
X"2e098106",
|
|||
X"963883e7",
|
|||
X"b05283e3",
|
|||
X"b051faed",
|
|||
X"3ffad33f",
|
|||
X"fad03f83",
|
|||
X"39815580",
|
|||
X"51fa893f",
|
|||
X"fac43f74",
|
|||
X"81ff0683",
|
|||
X"e0800c87",
|
|||
X"3d0d04fb",
|
|||
X"3d0d7783",
|
|||
X"e3b05654",
|
|||
X"8151f9ec",
|
|||
X"3f83e3ac",
|
|||
X"3370832a",
|
|||
X"70810651",
|
|||
X"54567285",
|
|||
X"3873892b",
|
|||
X"54735280",
|
|||
X"d851fab6",
|
|||
252 | markw | X"3f83e080",
|
|
426 | markw | X"0881ff06",
|
|
X"537280e4",
|
|||
X"3881ff51",
|
|||
X"f9d43f81",
|
|||
X"fe51f9ce",
|
|||
X"3f848053",
|
|||
X"74708105",
|
|||
X"563351f9",
|
|||
X"c13fff13",
|
|||
X"7083ffff",
|
|||
X"06515372",
|
|||
X"eb387251",
|
|||
X"f9b03f72",
|
|||
X"51f9ab3f",
|
|||
X"f9d03f83",
|
|||
X"e080089f",
|
|||
X"0653a788",
|
|||
X"5472852e",
|
|||
X"8c389939",
|
|||
X"80e45186",
|
|||
X"dd3fff14",
|
|||
X"54f9b33f",
|
|||
X"83e08008",
|
|||
X"81ff2e84",
|
|||
X"3873e938",
|
|||
X"8051f8e4",
|
|||
X"3ff99f3f",
|
|||
X"800b83e0",
|
|||
X"800c873d",
|
|||
X"0d047183",
|
|||
X"e7b40c88",
|
|||
X"80800b83",
|
|||
X"e7b00c84",
|
|||
X"80800b83",
|
|||
X"e7b80c04",
|
|||
X"f03d0d83",
|
|||
X"80805683",
|
|||
X"e7b40816",
|
|||
X"83e7b008",
|
|||
X"17565474",
|
|||
X"33743483",
|
|||
X"e7b80816",
|
|||
X"54807434",
|
|||
X"81165675",
|
|||
X"8380a02e",
|
|||
X"098106db",
|
|||
X"3883d080",
|
|||
X"5683e7b4",
|
|||
X"081683e7",
|
|||
X"b0081756",
|
|||
X"54743374",
|
|||
X"3483e7b8",
|
|||
X"08165480",
|
|||
X"74348116",
|
|||
X"567583d0",
|
|||
X"902e0981",
|
|||
X"06db3883",
|
|||
X"a8805683",
|
|||
X"e7b40816",
|
|||
X"83e7b008",
|
|||
X"17565474",
|
|||
X"33743483",
|
|||
X"e7b80816",
|
|||
X"54807434",
|
|||
X"81165675",
|
|||
X"83a8902e",
|
|||
X"098106db",
|
|||
X"38805683",
|
|||
X"e7b40816",
|
|||
351 | markw | X"83e7b808",
|
|
426 | markw | X"17555573",
|
|
X"33753481",
|
|||
X"16567581",
|
|||
X"80802e09",
|
|||
X"8106e438",
|
|||
X"87833f89",
|
|||
X"3d58a253",
|
|||
X"80ed8052",
|
|||
X"7751a1a5",
|
|||
X"3f80578c",
|
|||
220 | markw | X"805683e7",
|
|
426 | markw | X"b8081677",
|
|
X"19555573",
|
|||
X"33753481",
|
|||
X"16811858",
|
|||
X"5676a22e",
|
|||
X"098106e6",
|
|||
X"38860b87",
|
|||
X"a8833480",
|
|||
X"0b87a882",
|
|||
X"34800b87",
|
|||
X"809a34af",
|
|||
X"0b878096",
|
|||
X"34bf0b87",
|
|||
X"80973480",
|
|||
X"0b878098",
|
|||
X"349f0b87",
|
|||
X"80993480",
|
|||
X"0b87809b",
|
|||
X"34f80b87",
|
|||
X"a8893476",
|
|||
X"87a88034",
|
|||
X"820b87d0",
|
|||
X"8f34820b",
|
|||
X"87a88134",
|
|||
X"840b8780",
|
|||
X"9f34ff0b",
|
|||
X"87d08b34",
|
|||
X"923d0d04",
|
|||
X"fe3d0d80",
|
|||
X"5383e7b8",
|
|||
X"081383e7",
|
|||
X"b4081452",
|
|||
X"52703372",
|
|||
X"34811353",
|
|||
X"72818080",
|
|||
207 | markw | X"2e098106",
|
|
426 | markw | X"e4388380",
|
|
220 | markw | X"805383e7",
|
|
351 | markw | X"b8081383",
|
|
X"e7b40814",
|
|||
193 | markw | X"52527033",
|
|
X"72348113",
|
|||
426 | markw | X"53728380",
|
|
X"a02e0981",
|
|||
193 | markw | X"06e43883",
|
|
426 | markw | X"d0805383",
|
|
351 | markw | X"e7b80813",
|
|
X"83e7b408",
|
|||
193 | markw | X"14525270",
|
|
X"33723481",
|
|||
X"13537283",
|
|||
426 | markw | X"d0902e09",
|
|
193 | markw | X"8106e438",
|
|
426 | markw | X"83a88053",
|
|
351 | markw | X"83e7b808",
|
|
X"1383e7b4",
|
|||
198 | markw | X"08145252",
|
|
X"70337234",
|
|||
X"81135372",
|
|||
426 | markw | X"83a8902e",
|
|
198 | markw | X"098106e4",
|
|
426 | markw | X"38843d0d",
|
|
X"04803d0d",
|
|||
X"90809008",
|
|||
X"810683e0",
|
|||
X"800c823d",
|
|||
X"0d04ff3d",
|
|||
X"0d908090",
|
|||
X"700870fe",
|
|||
X"06760772",
|
|||
X"0c525283",
|
|||
X"3d0d0480",
|
|||
X"3d0d9080",
|
|||
X"90087081",
|
|||
X"2c810683",
|
|||
X"e0800c51",
|
|||
X"823d0d04",
|
|||
X"ff3d0d90",
|
|||
X"80907008",
|
|||
X"70fd0676",
|
|||
X"1007720c",
|
|||
X"5252833d",
|
|||
207 | markw | X"0d04803d",
|
|
X"0d908090",
|
|||
426 | markw | X"0870822c",
|
|
X"bf0683e0",
|
|||
X"800c5182",
|
|||
207 | markw | X"3d0d04ff",
|
|
X"3d0d9080",
|
|||
X"90700870",
|
|||
426 | markw | X"fe830676",
|
|
X"822b0772",
|
|||
X"0c525283",
|
|||
X"3d0d0480",
|
|||
X"3d0d9080",
|
|||
X"90087088",
|
|||
X"2c870683",
|
|||
X"e0800c51",
|
|||
X"823d0d04",
|
|||
X"ff3d0d90",
|
|||
X"80907008",
|
|||
X"70f1ff06",
|
|||
X"76882b07",
|
|||
252 | markw | X"720c5252",
|
|
X"833d0d04",
|
|||
X"803d0d90",
|
|||
X"80900870",
|
|||
426 | markw | X"912cbf06",
|
|
252 | markw | X"83e0800c",
|
|
X"51823d0d",
|
|||
X"04ff3d0d",
|
|||
X"90809070",
|
|||
426 | markw | X"0870fc87",
|
|
X"ffff0676",
|
|||
X"912b0772",
|
|||
198 | markw | X"0c525283",
|
|
X"3d0d0480",
|
|||
207 | markw | X"3d0d9080",
|
|
426 | markw | X"90087099",
|
|
X"2c810683",
|
|||
207 | markw | X"e0800c51",
|
|
X"823d0d04",
|
|||
X"ff3d0d90",
|
|||
X"80907008",
|
|||
426 | markw | X"70ffbf0a",
|
|
X"0676992b",
|
|||
207 | markw | X"07720c52",
|
|
X"52833d0d",
|
|||
X"04803d0d",
|
|||
426 | markw | X"90808008",
|
|
X"70882c81",
|
|||
198 | markw | X"0683e080",
|
|
207 | markw | X"0c51823d",
|
|
210 | markw | X"0d04803d",
|
|
X"0d908080",
|
|||
426 | markw | X"0870892c",
|
|
210 | markw | X"810683e0",
|
|
X"800c5182",
|
|||
252 | markw | X"3d0d0480",
|
|
X"3d0d9080",
|
|||
426 | markw | X"8008708a",
|
|
252 | markw | X"2c810683",
|
|
X"e0800c51",
|
|||
X"823d0d04",
|
|||
263 | markw | X"803d0d90",
|
|
X"80800870",
|
|||
426 | markw | X"8b2c8106",
|
|
263 | markw | X"83e0800c",
|
|
X"51823d0d",
|
|||
346 | markw | X"04803d0d",
|
|
X"90808008",
|
|||
426 | markw | X"708c2cbf",
|
|
346 | markw | X"0683e080",
|
|
X"0c51823d",
|
|||
426 | markw | X"0d04fe3d",
|
|
X"0d7481e6",
|
|||
X"29872a90",
|
|||
X"80a00c84",
|
|||
346 | markw | X"3d0d04fe",
|
|
X"3d0d7575",
|
|||
X"ff195353",
|
|||
X"5370ff2e",
|
|||
X"8d387272",
|
|||
426 | markw | X"70810554",
|
|
X"34ff1151",
|
|||
346 | markw | X"f039843d",
|
|
263 | markw | X"0d04fe3d",
|
|
426 | markw | X"0d7575ff",
|
|
X"19535353",
|
|||
X"70ff2e8d",
|
|||
X"38727270",
|
|||
X"8405540c",
|
|||
X"ff1151f0",
|
|||
X"39843d0d",
|
|||
X"04fe3d0d",
|
|||
X"81808053",
|
|||
X"80528880",
|
|||
X"0a51ffb3",
|
|||
X"3fa08053",
|
|||
X"80528280",
|
|||
X"0a51c73f",
|
|||
X"843d0d04",
|
|||
X"803d0d81",
|
|||
X"51fcab3f",
|
|||
X"72802e90",
|
|||
X"388051fd",
|
|||
X"ff3fce3f",
|
|||
X"80f0ac33",
|
|||
X"51fdf53f",
|
|||
X"8151fcbc",
|
|||
X"3f8051fc",
|
|||
X"b73f8051",
|
|||
X"fc883f82",
|
|||
X"3d0d04fd",
|
|||
X"3d0d7552",
|
|||
X"805480ff",
|
|||
X"72258838",
|
|||
X"810bff80",
|
|||
X"135354ff",
|
|||
X"bf125170",
|
|||
X"99268638",
|
|||
X"e01252b0",
|
|||
X"39ff9f12",
|
|||
X"51997127",
|
|||
X"a738d012",
|
|||
X"e0135451",
|
|||
X"70892685",
|
|||
X"38725298",
|
|||
X"39728f26",
|
|||
348 | markw | X"85387252",
|
|
426 | markw | X"8f3971ba",
|
|
348 | markw | X"2e098106",
|
|
426 | markw | X"85389a52",
|
|
X"83398052",
|
|||
X"73802e85",
|
|||
X"38818012",
|
|||
X"527181ff",
|
|||
X"0683e080",
|
|||
X"0c853d0d",
|
|||
X"04803d0d",
|
|||
X"84d8c051",
|
|||
X"80717081",
|
|||
X"05533470",
|
|||
X"84e0c02e",
|
|||
X"098106f0",
|
|||
X"38823d0d",
|
|||
X"04fe3d0d",
|
|||
X"02970533",
|
|||
X"51fef43f",
|
|||
X"83e08008",
|
|||
X"81ff0683",
|
|||
X"e7c00854",
|
|||
X"52807324",
|
|||
X"9b3883e7",
|
|||
X"e4081372",
|
|||
X"83e7e808",
|
|||
X"07535371",
|
|||
X"733483e7",
|
|||
X"c0088105",
|
|||
X"83e7c00c",
|
|||
X"843d0d04",
|
|||
X"fa3d0d82",
|
|||
X"800a1b55",
|
|||
X"8057883d",
|
|||
X"fc055479",
|
|||
X"53745278",
|
|||
X"51ffbb99",
|
|||
X"3f883d0d",
|
|||
X"04fe3d0d",
|
|||
X"83e7d808",
|
|||
X"527451c1",
|
|||
X"fd3f83e0",
|
|||
X"80088c38",
|
|||
X"76537552",
|
|||
X"83e7d808",
|
|||
X"51c63f84",
|
|||
X"3d0d04fe",
|
|||
X"3d0d83e7",
|
|||
X"d8085375",
|
|||
X"527451ff",
|
|||
X"bcbb3f83",
|
|||
X"e080088d",
|
|||
X"38775376",
|
|||
X"5283e7d8",
|
|||
X"0851ffa0",
|
|||
X"3f843d0d",
|
|||
X"04fd3d0d",
|
|||
X"83e7d808",
|
|||
X"51ffbbae",
|
|||
263 | markw | X"3f83e080",
|
|
426 | markw | X"0890802e",
|
|
X"098106ad",
|
|||
X"38805483",
|
|||
X"c1808053",
|
|||
X"83e08008",
|
|||
351 | markw | X"5283e7d8",
|
|
426 | markw | X"0851fef0",
|
|
X"3f87c180",
|
|||
X"80143387",
|
|||
X"c1908015",
|
|||
X"34811454",
|
|||
X"7390802e",
|
|||
X"098106e9",
|
|||
X"38853d0d",
|
|||
X"0480edf8",
|
|||
X"0b83e080",
|
|||
X"0c04fc3d",
|
|||
X"0d765473",
|
|||
X"902e80ff",
|
|||
X"38739024",
|
|||
X"8e387384",
|
|||
X"2e983873",
|
|||
X"862ea638",
|
|||
X"82b93973",
|
|||
X"932e8195",
|
|||
X"3873942e",
|
|||
X"81cf3882",
|
|||
X"aa398180",
|
|||
X"80538280",
|
|||
X"805283e7",
|
|||
X"d40851fe",
|
|||
X"8f3f82b5",
|
|||
X"39805481",
|
|||
X"80805380",
|
|||
X"c0805283",
|
|||
X"e7d40851",
|
|||
X"fdfa3f82",
|
|||
X"80805380",
|
|||
X"c0805283",
|
|||
X"e7d40851",
|
|||
X"fdea3f84",
|
|||
X"81808014",
|
|||
X"338481c0",
|
|||
X"80153484",
|
|||
X"82808014",
|
|||
X"338482c0",
|
|||
X"80153481",
|
|||
X"14547380",
|
|||
X"c0802e09",
|
|||
X"8106dc38",
|
|||
X"81eb3982",
|
|||
X"80805381",
|
|||
348 | markw | X"80805283",
|
|
351 | markw | X"e7d40851",
|
|
426 | markw | X"fdb23f80",
|
|
X"54848280",
|
|||
X"80143384",
|
|||
X"81808015",
|
|||
X"34811454",
|
|||
X"73818080",
|
|||
X"2e098106",
|
|||
X"e83881bd",
|
|||
X"39818080",
|
|||
X"5380c080",
|
|||
351 | markw | X"5283e7d4",
|
|
426 | markw | X"0851fd84",
|
|
348 | markw | X"3f805584",
|
|
X"81808015",
|
|||
X"54733384",
|
|||
X"81c08016",
|
|||
X"34733384",
|
|||
X"82808016",
|
|||
X"34733384",
|
|||
X"82c08016",
|
|||
X"34811555",
|
|||
426 | markw | X"7480c080",
|
|
X"2e098106",
|
|||
X"d63880fd",
|
|||
X"39818080",
|
|||
X"53a08052",
|
|||
X"83e7d408",
|
|||
X"51fcc53f",
|
|||
X"80558481",
|
|||
X"80801554",
|
|||
X"73338481",
|
|||
X"a0801634",
|
|||
X"73338481",
|
|||
X"c0801634",
|
|||
X"73338481",
|
|||
X"e0801634",
|
|||
X"73338482",
|
|||
X"80801634",
|
|||
X"73338482",
|
|||
X"a0801634",
|
|||
X"73338482",
|
|||
X"c0801634",
|
|||
X"73338482",
|
|||
X"e0801634",
|
|||
X"81155574",
|
|||
X"a0802e09",
|
|||
X"8106ffb6",
|
|||
X"389f39fb",
|
|||
X"9c3f800b",
|
|||
X"83e7c00c",
|
|||
X"800b83e7",
|
|||
X"e80c80ed",
|
|||
X"fc51e7fd",
|
|||
X"3f81b78d",
|
|||
X"c051f8fe",
|
|||
X"3f863d0d",
|
|||
X"04fc3d0d",
|
|||
X"76705255",
|
|||
X"ffbecf3f",
|
|||
348 | markw | X"83e08008",
|
|
426 | markw | X"54815383",
|
|
X"e0800880",
|
|||
X"c2387451",
|
|||
X"ffbe913f",
|
|||
X"83e08008",
|
|||
X"80ee9853",
|
|||
X"83e08008",
|
|||
X"5253d6ef",
|
|||
348 | markw | X"3f83e080",
|
|
426 | markw | X"08a13880",
|
|
386 | markw | X"ee9c5272",
|
|
426 | markw | X"51d6e03f",
|
|
348 | markw | X"83e08008",
|
|
426 | markw | X"923880ee",
|
|
X"a0527251",
|
|||
X"d6d13f83",
|
|||
X"e0800880",
|
|||
X"2e833881",
|
|||
X"54735372",
|
|||
X"83e0800c",
|
|||
X"863d0d04",
|
|||
X"f13d0d80",
|
|||
X"d5a90b83",
|
|||
X"e3900c83",
|
|||
X"e7d40851",
|
|||
X"d7fe3f83",
|
|||
X"e7d40851",
|
|||
X"ffb3f63f",
|
|||
X"ff0b80ee",
|
|||
X"9c5383e0",
|
|||
X"80085256",
|
|||
X"d6913f83",
|
|||
X"e0800880",
|
|||
X"2e9f3880",
|
|||
X"58913ddc",
|
|||
X"11555590",
|
|||
X"53f01552",
|
|||
351 | markw | X"83e7d408",
|
|
426 | markw | X"51ffb5d9",
|
|
X"3f02b705",
|
|||
X"335681a5",
|
|||
X"3983e7d4",
|
|||
X"0851ffb6",
|
|||
X"b53f83e0",
|
|||
X"80085783",
|
|||
X"e0800882",
|
|||
348 | markw | X"80802e09",
|
|
426 | markw | X"81068338",
|
|
X"845683e0",
|
|||
X"80088180",
|
|||
X"802e0981",
|
|||
X"0680e138",
|
|||
X"805c805b",
|
|||
X"805a8059",
|
|||
X"f9933f80",
|
|||
X"0b83e7c0",
|
|||
X"0c800b83",
|
|||
X"e7e80c80",
|
|||
X"eea451e5",
|
|||
X"f43f80d0",
|
|||
X"0b83e7c0",
|
|||
X"0c80eeb4",
|
|||
X"51e5e63f",
|
|||
X"80f80b83",
|
|||
X"e7c00c80",
|
|||
X"eec851e5",
|
|||
X"d83f7580",
|
|||
X"25a23880",
|
|||
X"52893d70",
|
|||
X"52558ba4",
|
|||
X"3f835274",
|
|||
X"518b9d3f",
|
|||
X"78557480",
|
|||
X"25833890",
|
|||
X"56807525",
|
|||
X"dd388656",
|
|||
X"7680c080",
|
|||
X"2e098106",
|
|||
X"85389356",
|
|||
X"8c3976a0",
|
|||
X"802e0981",
|
|||
X"06833894",
|
|||
X"567551fa",
|
|||
X"ad3f913d",
|
|||
X"0d04f73d",
|
|||
X"0d805a80",
|
|||
X"59805880",
|
|||
X"57807056",
|
|||
X"56f88a3f",
|
|||
310 | markw | X"800b83e7",
|
|
386 | markw | X"c00c800b",
|
|
426 | markw | X"83e7e80c",
|
|
X"80eedc51",
|
|||
X"e4eb3f81",
|
|||
X"800b83e7",
|
|||
X"e80c80ee",
|
|||
X"e051e4dd",
|
|||
X"3f80d00b",
|
|||
351 | markw | X"83e7c00c",
|
|
426 | markw | X"74307076",
|
|
310 | markw | X"07802570",
|
|
X"872b83e7",
|
|||
426 | markw | X"e80c5153",
|
|
X"f3ac3f83",
|
|||
X"e0800852",
|
|||
X"80eee851",
|
|||
X"e4b73f80",
|
|||
X"f80b83e7",
|
|||
X"c00c7481",
|
|||
X"32703070",
|
|||
X"72078025",
|
|||
X"70872b83",
|
|||
X"e7e80c51",
|
|||
X"5454f9a9",
|
|||
X"3f83e080",
|
|||
X"085280ee",
|
|||
X"f451e48d",
|
|||
X"3f81a00b",
|
|||
351 | markw | X"83e7c00c",
|
|
426 | markw | X"74823270",
|
|
348 | markw | X"30707207",
|
|
X"80257087",
|
|||
426 | markw | X"2b83e7e8",
|
|
X"0c515483",
|
|||
X"e7d80852",
|
|||
X"54ffb0ed",
|
|||
348 | markw | X"3f83e080",
|
|
426 | markw | X"085280ee",
|
|
X"fc51e3dd",
|
|||
X"3f81c80b",
|
|||
386 | markw | X"83e7c00c",
|
|
426 | markw | X"74833270",
|
|
X"30707207",
|
|||
X"80257087",
|
|||
X"2b83e7e8",
|
|||
X"0c515483",
|
|||
X"e7d40852",
|
|||
X"54ffb0bd",
|
|||
X"3f80ef84",
|
|||
X"5383e080",
|
|||
X"08802e8f",
|
|||
X"3883e7d4",
|
|||
X"0851ffb0",
|
|||
X"a83f83e0",
|
|||
X"80085372",
|
|||
X"5280ef8c",
|
|||
X"51e3963f",
|
|||
X"81f00b83",
|
|||
X"e7c00c74",
|
|||
X"84327030",
|
|||
X"70720780",
|
|||
X"2570872b",
|
|||
X"83e7e80c",
|
|||
X"515580ef",
|
|||
X"945253e2",
|
|||
X"f43f868d",
|
|||
X"a051f3f6",
|
|||
X"3f805287",
|
|||
X"3d705253",
|
|||
X"88be3f83",
|
|||
X"52725188",
|
|||
X"b73f7953",
|
|||
X"7281c138",
|
|||
X"77155574",
|
|||
X"80258538",
|
|||
X"72559039",
|
|||
X"84752585",
|
|||
X"38845587",
|
|||
X"39748426",
|
|||
X"81a03874",
|
|||
X"842980ed",
|
|||
X"a4055372",
|
|||
X"0804f196",
|
|||
X"3f83e080",
|
|||
X"08775553",
|
|||
X"73812e09",
|
|||
X"81068938",
|
|||
263 | markw | X"83e08008",
|
|
426 | markw | X"10539039",
|
|
X"73ff2e09",
|
|||
X"81068838",
|
|||
X"83e08008",
|
|||
X"812c5390",
|
|||
X"73258538",
|
|||
X"90538839",
|
|||
X"72802483",
|
|||
X"38815372",
|
|||
X"51f0f03f",
|
|||
X"80d439f1",
|
|||
X"823f83e0",
|
|||
X"80081753",
|
|||
X"72802585",
|
|||
X"38805388",
|
|||
X"39877325",
|
|||
X"83388753",
|
|||
X"7251f0fc",
|
|||
X"3fb43976",
|
|||
X"86387880",
|
|||
X"2eac3883",
|
|||
X"e38c0883",
|
|||
X"e3880cad",
|
|||
X"ea0b83e3",
|
|||
X"900c83e7",
|
|||
X"d80851d2",
|
|||
X"b33ff5f5",
|
|||
X"3f903978",
|
|||
X"802e8b38",
|
|||
X"fa963f81",
|
|||
X"538c3978",
|
|||
X"87387580",
|
|||
X"2efc9638",
|
|||
X"80537283",
|
|||
X"e0800c8b",
|
|||
X"3d0d04ff",
|
|||
X"3d0df1a5",
|
|||
X"3f83e080",
|
|||
X"08802e86",
|
|||
X"38805180",
|
|||
X"da39f1aa",
|
|||
X"3f83e080",
|
|||
X"0880ce38",
|
|||
X"f1ca3f83",
|
|||
X"e0800880",
|
|||
X"2eaa3881",
|
|||
X"51ef873f",
|
|||
X"ebc23f80",
|
|||
X"0b83e7c0",
|
|||
X"0cfbc33f",
|
|||
X"83e08008",
|
|||
X"52ff0b83",
|
|||
X"e7c00ced",
|
|||
X"d33f71a1",
|
|||
X"387151ee",
|
|||
X"e53f9f39",
|
|||
X"f1813f83",
|
|||
X"e0800880",
|
|||
X"2e943881",
|
|||
X"51eed33f",
|
|||
X"eb8e3ff9",
|
|||
X"973fedb0",
|
|||
X"3f8151f2",
|
|||
X"933f833d",
|
|||
X"0d04fe3d",
|
|||
X"0d828080",
|
|||
X"53805281",
|
|||
X"81808051",
|
|||
X"f1a13f80",
|
|||
X"c0805380",
|
|||
X"52848180",
|
|||
X"8051f1b2",
|
|||
X"3f908080",
|
|||
X"52868480",
|
|||
X"8051ffb1",
|
|||
X"963f83e0",
|
|||
X"8008a438",
|
|||
X"80f0b051",
|
|||
X"ffb5d93f",
|
|||
X"83e7d808",
|
|||
X"5380ef9c",
|
|||
X"5283e080",
|
|||
X"0851ffb0",
|
|||
X"b83f83e0",
|
|||
X"80088438",
|
|||
X"f48b3f81",
|
|||
X"51f1b53f",
|
|||
X"feb13ffc",
|
|||
X"3983e08c",
|
|||
X"080283e0",
|
|||
X"8c0cfb3d",
|
|||
X"0d0280ef",
|
|||
X"a80b83e3",
|
|||
X"8c0c80ee",
|
|||
X"a00b83e3",
|
|||
X"840c80ee",
|
|||
X"9c0b83e3",
|
|||
X"980c80ef",
|
|||
X"ac0b83e3",
|
|||
X"940c83e0",
|
|||
X"8c08fc05",
|
|||
X"0c800b83",
|
|||
X"e7c40b83",
|
|||
X"e08c08f8",
|
|||
X"050c83e0",
|
|||
X"8c08f405",
|
|||
X"0cffaf8f",
|
|||
X"3f83e080",
|
|||
X"088605fc",
|
|||
X"0683e08c",
|
|||
X"08f0050c",
|
|||
X"0283e08c",
|
|||
X"08f00508",
|
|||
X"310d833d",
|
|||
X"7083e08c",
|
|||
X"08f80508",
|
|||
X"70840583",
|
|||
X"e08c08f8",
|
|||
X"050c0c51",
|
|||
X"ffabd03f",
|
|||
348 | markw | X"83e08c08",
|
|
426 | markw | X"f4050881",
|
|
X"0583e08c",
|
|||
X"08f4050c",
|
|||
386 | markw | X"83e08c08",
|
|
426 | markw | X"f4050888",
|
|
X"2e098106",
|
|||
X"ffab3886",
|
|||
X"94808051",
|
|||
X"e8e43fff",
|
|||
X"0b83e7c0",
|
|||
X"0c800b83",
|
|||
X"e7e80c84",
|
|||
X"d8c00b83",
|
|||
X"e7e40c81",
|
|||
X"51eca33f",
|
|||
X"8151ecc8",
|
|||
X"3f8051ec",
|
|||
X"c33f8151",
|
|||
X"ece93f82",
|
|||
X"51ed913f",
|
|||
X"8051edb9",
|
|||
386 | markw | X"3f8051ed",
|
|
426 | markw | X"e33f80d0",
|
|
X"c1528051",
|
|||
X"ddc83ffd",
|
|||
X"b93f83e0",
|
|||
X"8c08fc05",
|
|||
X"080d800b",
|
|||
X"83e0800c",
|
|||
X"873d0d83",
|
|||
X"e08c0c04",
|
|||
X"fb3d0d77",
|
|||
X"5480740c",
|
|||
X"800b8415",
|
|||
X"0c800b88",
|
|||
X"150cee99",
|
|||
X"3f83e080",
|
|||
X"0887d088",
|
|||
X"337081ff",
|
|||
X"06515255",
|
|||
X"70a73887",
|
|||
X"d0803383",
|
|||
X"e7f83487",
|
|||
X"d0813383",
|
|||
X"e7f43487",
|
|||
X"d0823383",
|
|||
X"e7ec3487",
|
|||
X"d0833383",
|
|||
X"e7f034ff",
|
|||
X"0b87d08b",
|
|||
X"3487d089",
|
|||
X"3387d08f",
|
|||
X"3370822a",
|
|||
X"70810670",
|
|||
X"30707207",
|
|||
X"7009709f",
|
|||
X"2c77069e",
|
|||
X"06575151",
|
|||
X"55515153",
|
|||
X"53807398",
|
|||
X"06525270",
|
|||
X"882e0981",
|
|||
X"06833881",
|
|||
X"52709832",
|
|||
X"70307080",
|
|||
X"25747131",
|
|||
X"84180c51",
|
|||
X"51518073",
|
|||
X"86065252",
|
|||
X"70822e09",
|
|||
386 | markw | X"81068338",
|
|
426 | markw | X"81527086",
|
|
386 | markw | X"32703070",
|
|
X"80257471",
|
|||
426 | markw | X"31770c51",
|
|
X"515183e7",
|
|||
X"f8335181",
|
|||
X"aa712784",
|
|||
X"3881740c",
|
|||
X"83e7f833",
|
|||
X"5170bb26",
|
|||
X"8438ff74",
|
|||
386 | markw | X"0c83e7f4",
|
|
426 | markw | X"335181aa",
|
|
X"71278638",
|
|||
X"810b8415",
|
|||
X"0c83e7f4",
|
|||
386 | markw | X"335170bb",
|
|
426 | markw | X"268638ff",
|
|
X"0b84150c",
|
|||
386 | markw | X"83e7ec33",
|
|
X"5181aa71",
|
|||
426 | markw | X"27843881",
|
|
X"740c83e7",
|
|||
X"ec335170",
|
|||
X"bb268438",
|
|||
X"ff740c83",
|
|||
X"e7f03351",
|
|||
X"81aa7127",
|
|||
X"8638810b",
|
|||
X"84150c83",
|
|||
X"e7f03351",
|
|||
X"70bb2686",
|
|||
X"38ff0b84",
|
|||
X"150c8056",
|
|||
X"72942eaa",
|
|||
X"38878090",
|
|||
X"33878091",
|
|||
X"33878092",
|
|||
X"337081ff",
|
|||
X"06727406",
|
|||
X"06878093",
|
|||
X"33710681",
|
|||
X"06515253",
|
|||
X"53537176",
|
|||
X"2e098106",
|
|||
X"83388156",
|
|||
X"7588150c",
|
|||
X"74802eb0",
|
|||
X"3874812a",
|
|||
X"70810676",
|
|||
X"81063184",
|
|||
X"160c5174",
|
|||
X"832a7582",
|
|||
X"2a718106",
|
|||
X"71810631",
|
|||
X"760c5252",
|
|||
X"74842a81",
|
|||
X"0688150c",
|
|||
X"74852a81",
|
|||
X"068c150c",
|
|||
X"873d0d04",
|
|||
X"fe3d0d74",
|
|||
X"76545271",
|
|||
X"51fce13f",
|
|||
X"72812ea2",
|
|||
X"38817326",
|
|||
X"8d387282",
|
|||
X"2ea83872",
|
|||
X"832e9c38",
|
|||
X"e6397108",
|
|||
X"e2388412",
|
|||
X"08dd3888",
|
|||
X"1208d838",
|
|||
X"a5398812",
|
|||
X"08812e9e",
|
|||
X"38913988",
|
|||
386 | markw | X"1208812e",
|
|
426 | markw | X"95387108",
|
|
X"91388412",
|
|||
X"088c388c",
|
|||
X"1208812e",
|
|||
X"098106ff",
|
|||
X"b238843d",
|
|||
X"0d0483e0",
|
|||
386 | markw | X"8c080283",
|
|
X"e08c0cfd",
|
|||
426 | markw | X"3d0d8053",
|
|
263 | markw | X"83e08c08",
|
|
386 | markw | X"8c050852",
|
|
348 | markw | X"83e08c08",
|
|
386 | markw | X"88050851",
|
|
426 | markw | X"83d43f83",
|
|
386 | markw | X"e0800870",
|
|
X"83e0800c",
|
|||
X"54853d0d",
|
|||
X"83e08c0c",
|
|||
X"0483e08c",
|
|||
X"080283e0",
|
|||
426 | markw | X"8c0cfd3d",
|
|
X"0d815383",
|
|||
X"e08c088c",
|
|||
X"05085283",
|
|||
X"e08c0888",
|
|||
X"05085183",
|
|||
X"a13f83e0",
|
|||
X"80087083",
|
|||
X"e0800c54",
|
|||
X"853d0d83",
|
|||
X"e08c0c04",
|
|||
X"83e08c08",
|
|||
X"0283e08c",
|
|||
X"0cf93d0d",
|
|||
386 | markw | X"800b83e0",
|
|
348 | markw | X"8c08fc05",
|
|
386 | markw | X"0c83e08c",
|
|
426 | markw | X"08880508",
|
|
386 | markw | X"8025b938",
|
|
X"83e08c08",
|
|||
426 | markw | X"88050830",
|
|
386 | markw | X"83e08c08",
|
|
426 | markw | X"88050c80",
|
|
348 | markw | X"0b83e08c",
|
|
426 | markw | X"08f4050c",
|
|
346 | markw | X"83e08c08",
|
|
386 | markw | X"fc05088a",
|
|
X"38810b83",
|
|||
426 | markw | X"e08c08f4",
|
|
386 | markw | X"050c83e0",
|
|
426 | markw | X"8c08f405",
|
|
386 | markw | X"0883e08c",
|
|
X"08fc050c",
|
|||
X"83e08c08",
|
|||
426 | markw | X"8c050880",
|
|
X"25b93883",
|
|||
X"e08c088c",
|
|||
X"05083083",
|
|||
X"e08c088c",
|
|||
X"050c800b",
|
|||
X"83e08c08",
|
|||
X"f0050c83",
|
|||
348 | markw | X"e08c08fc",
|
|
426 | markw | X"05088a38",
|
|
386 | markw | X"810b83e0",
|
|
426 | markw | X"8c08f005",
|
|
386 | markw | X"0c83e08c",
|
|
426 | markw | X"08f00508",
|
|
348 | markw | X"83e08c08",
|
|
426 | markw | X"fc050c80",
|
|
386 | markw | X"5383e08c",
|
|
X"088c0508",
|
|||
X"5283e08c",
|
|||
X"08880508",
|
|||
426 | markw | X"5181df3f",
|
|
X"83e08008",
|
|||
X"7083e08c",
|
|||
X"08f8050c",
|
|||
X"5483e08c",
|
|||
X"08fc0508",
|
|||
X"802e9038",
|
|||
193 | markw | X"83e08c08",
|
|
426 | markw | X"f8050830",
|
|
263 | markw | X"83e08c08",
|
|
426 | markw | X"f8050c83",
|
|
263 | markw | X"e08c08f8",
|
|
426 | markw | X"05087083",
|
|
X"e0800c54",
|
|||
X"893d0d83",
|
|||
X"e08c0c04",
|
|||
X"83e08c08",
|
|||
X"0283e08c",
|
|||
X"0cfb3d0d",
|
|||
X"800b83e0",
|
|||
X"8c08fc05",
|
|||
X"0c83e08c",
|
|||
X"08880508",
|
|||
X"80259938",
|
|||
X"83e08c08",
|
|||
X"88050830",
|
|||
X"83e08c08",
|
|||
X"88050c81",
|
|||
X"0b83e08c",
|
|||
X"08fc050c",
|
|||
X"83e08c08",
|
|||
X"8c050880",
|
|||
X"25903883",
|
|||
X"e08c088c",
|
|||
263 | markw | X"05083083",
|
|
426 | markw | X"e08c088c",
|
|
X"050c8153",
|
|||
X"83e08c08",
|
|||
X"8c050852",
|
|||
X"83e08c08",
|
|||
X"88050851",
|
|||
X"bd3f83e0",
|
|||
X"80087083",
|
|||
252 | markw | X"e08c08f8",
|
|
426 | markw | X"050c5483",
|
|
X"e08c08fc",
|
|||
X"0508802e",
|
|||
X"903883e0",
|
|||
252 | markw | X"8c08f805",
|
|
426 | markw | X"083083e0",
|
|
386 | markw | X"8c08f805",
|
|
X"0c83e08c",
|
|||
426 | markw | X"08f80508",
|
|
X"7083e080",
|
|||
X"0c54873d",
|
|||
X"0d83e08c",
|
|||
X"0c0483e0",
|
|||
X"8c080283",
|
|||
X"e08c0cfd",
|
|||
X"3d0d810b",
|
|||
244 | markw | X"83e08c08",
|
|
426 | markw | X"fc050c80",
|
|
X"0b83e08c",
|
|||
X"08f8050c",
|
|||
X"83e08c08",
|
|||
X"8c050883",
|
|||
X"e08c0888",
|
|||
X"050827b9",
|
|||
386 | markw | X"3883e08c",
|
|
426 | markw | X"08fc0508",
|
|
X"802eae38",
|
|||
X"800b83e0",
|
|||
X"8c088c05",
|
|||
X"0824a238",
|
|||
X"83e08c08",
|
|||
X"8c050810",
|
|||
X"83e08c08",
|
|||
X"8c050c83",
|
|||
X"e08c08fc",
|
|||
X"05081083",
|
|||
X"e08c08fc",
|
|||
X"050cffb8",
|
|||
X"3983e08c",
|
|||
X"08fc0508",
|
|||
X"802e80e1",
|
|||
X"3883e08c",
|
|||
386 | markw | X"088c0508",
|
|
348 | markw | X"83e08c08",
|
|
426 | markw | X"88050826",
|
|
X"ad3883e0",
|
|||
X"8c088805",
|
|||
X"0883e08c",
|
|||
X"088c0508",
|
|||
X"3183e08c",
|
|||
X"0888050c",
|
|||
386 | markw | X"83e08c08",
|
|
426 | markw | X"f8050883",
|
|
X"e08c08fc",
|
|||
X"05080783",
|
|||
X"e08c08f8",
|
|||
X"050c83e0",
|
|||
386 | markw | X"8c08fc05",
|
|
426 | markw | X"08812a83",
|
|
X"e08c08fc",
|
|||
X"050c83e0",
|
|||
255 | markw | X"8c088c05",
|
|
426 | markw | X"08812a83",
|
|
X"e08c088c",
|
|||
X"050cff95",
|
|||
X"3983e08c",
|
|||
X"08900508",
|
|||
X"802e9338",
|
|||
252 | markw | X"83e08c08",
|
|
426 | markw | X"88050870",
|
|
263 | markw | X"83e08c08",
|
|
426 | markw | X"f4050c51",
|
|
X"913983e0",
|
|||
X"8c08f805",
|
|||
X"087083e0",
|
|||
X"8c08f405",
|
|||
X"0c5183e0",
|
|||
X"8c08f405",
|
|||
X"0883e080",
|
|||
X"0c853d0d",
|
|||
X"83e08c0c",
|
|||
X"0483e08c",
|
|||
X"080283e0",
|
|||
X"8c0cff3d",
|
|||
X"0d800b83",
|
|||
386 | markw | X"e08c08fc",
|
|
426 | markw | X"050c83e0",
|
|
X"8c088805",
|
|||
X"088106ff",
|
|||
X"11700970",
|
|||
244 | markw | X"83e08c08",
|
|
426 | markw | X"8c050806",
|
|
244 | markw | X"83e08c08",
|
|
426 | markw | X"fc050811",
|
|
255 | markw | X"83e08c08",
|
|
386 | markw | X"fc050c83",
|
|
263 | markw | X"e08c0888",
|
|
426 | markw | X"0508812a",
|
|
255 | markw | X"83e08c08",
|
|
426 | markw | X"88050c83",
|
|
X"e08c088c",
|
|||
X"05081083",
|
|||
X"e08c088c",
|
|||
X"050c5151",
|
|||
X"515183e0",
|
|||
X"8c088805",
|
|||
X"08802e84",
|
|||
X"38ffab39",
|
|||
386 | markw | X"83e08c08",
|
|
426 | markw | X"fc050870",
|
|
X"83e0800c",
|
|||
X"51833d0d",
|
|||
X"83e08c0c",
|
|||
X"04fc3d0d",
|
|||
X"7670797b",
|
|||
X"55555555",
|
|||
X"8f72278c",
|
|||
X"38727507",
|
|||
X"83065170",
|
|||
X"802ea938",
|
|||
X"ff125271",
|
|||
X"ff2e9838",
|
|||
X"72708105",
|
|||
X"54337470",
|
|||
X"81055634",
|
|||
X"ff125271",
|
|||
X"ff2e0981",
|
|||
X"06ea3874",
|
|||
X"83e0800c",
|
|||
X"863d0d04",
|
|||
X"74517270",
|
|||
X"84055408",
|
|||
X"71708405",
|
|||
X"530c7270",
|
|||
X"84055408",
|
|||
X"71708405",
|
|||
X"530c7270",
|
|||
X"84055408",
|
|||
X"71708405",
|
|||
X"530c7270",
|
|||
X"84055408",
|
|||
X"71708405",
|
|||
X"530cf012",
|
|||
X"52718f26",
|
|||
X"c9388372",
|
|||
X"27953872",
|
|||
386 | markw | X"70840554",
|
|
X"08717084",
|
|||
426 | markw | X"05530cfc",
|
|
X"12527183",
|
|||
X"26ed3870",
|
|||
X"54ff8139",
|
|||
177 | markw | X"00ffffff",
|
|
X"ff00ffff",
|
|||
X"ffff00ff",
|
|||
X"ffffff00",
|
|||
193 | markw | X"809a9041",
|
|
X"8e418f80",
|
|||
X"45454549",
|
|||
X"49498e8f",
|
|||
X"9092924f",
|
|||
X"994f5555",
|
|||
X"59999a9b",
|
|||
X"9c9d9e9f",
|
|||
X"41494f55",
|
|||
X"a5a5a6a7",
|
|||
X"a8a9aaab",
|
|||
X"ac21aeaf",
|
|||
X"b0b1b2b3",
|
|||
X"b4b5b6b7",
|
|||
X"b8b9babb",
|
|||
X"bcbdbebf",
|
|||
X"c0c1c2c3",
|
|||
X"c4c5c6c7",
|
|||
X"c8c9cacb",
|
|||
X"cccdcecf",
|
|||
X"d0d1d2d3",
|
|||
X"d4d5d6d7",
|
|||
X"d8d9dadb",
|
|||
X"dcdddedf",
|
|||
X"e0e1e2e3",
|
|||
X"e4e5e6e7",
|
|||
X"e8e9eaeb",
|
|||
X"ecedeeef",
|
|||
X"f0f1f2f3",
|
|||
X"f4f5f6f7",
|
|||
X"f8f9fafb",
|
|||
X"fcfdfeff",
|
|||
177 | markw | X"70704740",
|
|
X"2c704268",
|
|||
X"2c020202",
|
|||
X"02020202",
|
|||
X"02020202",
|
|||
X"02020202",
|
|||
X"02020202",
|
|||
X"02020241",
|
|||
X"00060000",
|
|||
426 | markw | X"00002d6a",
|
|
X"00002dab",
|
|||
X"00002dcb",
|
|||
X"00002def",
|
|||
X"00002dfb",
|
|||
310 | markw | X"2e2e0000",
|
|
193 | markw | X"25732025",
|
|
X"73000000",
|
|||
X"20000000",
|
|||
X"41545200",
|
|||
X"58464400",
|
|||
X"58455800",
|
|||
X"43686f6f",
|
|||
X"73652000",
|
|||
X"66696c65",
|
|||
X"00000000",
|
|||
X"4449523a",
|
|||
X"00000000",
|
|||
X"44495200",
|
|||
X"25303278",
|
|||
X"00000000",
|
|||
177 | markw | X"31364b00",
|
|
252 | markw | X"556e6b6e",
|
|
X"6f776e20",
|
|||
X"74797065",
|
|||
X"206f6620",
|
|||
X"63617274",
|
|||
X"72696467",
|
|||
X"65210000",
|
|||
X"41353200",
|
|||
X"43415200",
|
|||
X"42494e00",
|
|||
X"31366b20",
|
|||
X"63617274",
|
|||
X"20747970",
|
|||
X"65000000",
|
|||
X"4c656674",
|
|||
X"20666f72",
|
|||
X"206f6e65",
|
|||
X"20636869",
|
|||
X"70000000",
|
|||
X"52696768",
|
|||
X"7420666f",
|
|||
X"72207477",
|
|||
X"6f206368",
|
|||
X"69700000",
|
|||
177 | markw | X"53650000",
|
|
X"7474696e",
|
|||
X"67730000",
|
|||
X"54757262",
|
|||
X"6f3a2564",
|
|||
X"78000000",
|
|||
X"52616d3a",
|
|||
X"25730000",
|
|||
X"526f6d3a",
|
|||
X"25730000",
|
|||
252 | markw | X"4e4f4e45",
|
|
244 | markw | X"00000000",
|
|
X"43617274",
|
|||
252 | markw | X"3a257300",
|
|
177 | markw | X"45786974",
|
|
X"00000000",
|
|||
X"35323030",
|
|||
X"2e726f6d",
|
|||
X"00000000",
|
|||
198 | markw | X"524f4d00",
|
|
351 | markw | X"4d454d00",
|
|
177 | markw | X"00000000",
|
|
X"00000000",
|
|||
198 | markw | X"01010008",
|
|
X"02210010",
|
|||
X"080d0040",
|
|||
X"090a0040",
|
|||
X"0a090040",
|
|||
X"0b080040",
|
|||
X"0c300020",
|
|||
X"0d310040",
|
|||
X"0e320080",
|
|||
X"0f040010",
|
|||
X"110c0080",
|
|||
X"17330100",
|
|||
X"18340200",
|
|||
X"1a280010",
|
|||
X"1b290020",
|
|||
X"1c2a0040",
|
|||
X"1d2b0080",
|
|||
X"1e2c0100",
|
|||
X"1f2d0200",
|
|||
X"21380020",
|
|||
X"22390040",
|
|||
X"233a0080",
|
|||
X"243b0100",
|
|||
X"253c0200",
|
|||
X"28230010",
|
|||
X"29020080",
|
|||
X"2a030400",
|
|||
X"38240200",
|
|||
X"00000000",
|
|||
210 | markw | X"00000000",
|
|
177 | markw | X"2f617461",
|
|
241 | markw | X"72353230",
|
|
X"302f726f",
|
|||
X"6d000000",
|
|||
177 | markw | X"2f617461",
|
|
241 | markw | X"72353230",
|
|
X"302f7573",
|
|||
X"65720000",
|
|||
177 | markw | X"00000000",
|
|
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000"
|
|||
);
|
|||
signal rdata:std_logic_vector(31 downto 0);
|
|||
begin
|
|||
rdata<=ROM(conv_integer(address));
|
|||
process(clock)
|
|||
begin
|
|||
if(clock'event and clock='1')then
|
|||
q<=rdata;
|
|||
end if;
|
|||
end process;
|
|||
end syn;
|