Revision 43
Added by markw over 11 years ago
common/a8core/atari800core.vhd | ||
---|---|---|
oldcpu_enable => ENABLE_179_MEMWAIT,
|
||
CPU_ENABLE_OUT => CPU_SHARED_ENABLE);
|
||
|
||
|
||
CPU_6502_RESET <= NOT(RESET_N); -- TODO, allow external reset
|
||
CPU_6502_RESET <= NOT(RESET_N);
|
||
cpu6502 : entity work.cpu
|
||
PORT MAP(CLK => CLK,
|
||
RESET => CPU_6502_RESET,
|
common/a8core/atari800core_simple_sdram.vhd | ||
---|---|---|
DMA_ADDR : in std_logic_vector(23 downto 0);
|
||
DMA_WRITE_DATA : in std_logic_vector(31 downto 0);
|
||
MEMORY_READY_DMA : out std_logic; -- op complete
|
||
DMA_MEMORY_DATA : out std_logic_vector(31 downto 0);
|
||
|
||
-- Special config params
|
||
RAM_SELECT : in std_logic_vector(2 downto 0); -- 64K,128K,320KB Compy, 320KB Rambo, 576K Compy, 576K Rambo, 1088K, 4MB
|
||
... | ... | |
-- PBI
|
||
PBI_ADDR => open,
|
||
PBI_WRITE_ENABLE => open,
|
||
PBI_SNOOP_DATA => open,
|
||
PBI_SNOOP_DATA => DMA_MEMORY_DATA,
|
||
PBI_WRITE_DATA => PBI_WRITE_DATA,
|
||
PBI_WIDTH_8bit_ACCESS => SDRAM_8BIT_WRITE_ENABLE,
|
||
PBI_WIDTH_16bit_ACCESS => SDRAM_16BIT_WRITE_ENABLE,
|
Also available in: Unified diff
Connect up snoop to allow ZPU to see the data it requested