Revision 422
Added by markw almost 10 years ago
eclaireXL/atari800core_eclaireXL.qsf | ||
---|---|---|
set_global_assignment -name QIP_FILE pll_usb.qip
|
||
set_global_assignment -name QIP_FILE gpioram.qip
|
||
#set_global_assignment -name QIP_FILE serial_loader/synthesis/serial_loader.qip
|
||
set_global_assignment -name VHDL_FILE zpu_rom.vhdl
|
||
set_global_assignment -name QIP_FILE zpu_rom.qip
|
||
set_location_assignment PIN_H16 -to CLOCK_5
|
||
|
||
set_location_assignment PIN_A5 -to VGA_R[0]
|
||
... | ... | |
set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to GPIOC[33]
|
||
set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to GPIOC[34]
|
||
set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to GPIOC[35]
|
||
set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to DRAM_ADDR[4]
|
||
set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to DRAM_ADDR[5]
|
||
set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to DRAM_ADDR[6]
|
||
set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to DRAM_ADDR[7]
|
||
set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to DRAM_ADDR[8]
|
||
set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to DRAM_ADDR[9]
|
||
set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to DRAM_ADDR[11]
|
||
set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to DRAM_ADDR[12]
|
||
set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to DRAM_CKE
|
||
set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to DRAM_CLK
|
||
set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to DRAM_UDQM
|
||
set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to DRAM_DQ[8]
|
||
set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to DRAM_DQ[9]
|
||
set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to DRAM_DQ[10]
|
||
set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to DRAM_DQ[11]
|
||
set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to DRAM_DQ[12]
|
||
set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to DRAM_DQ[13]
|
||
set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to DRAM_DQ[14]
|
||
set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to DRAM_DQ[15]
|
||
set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to DRAM_ADDR[3]
|
||
set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to DRAM_ADDR[2]
|
||
set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to DRAM_ADDR[1]
|
||
set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to DRAM_ADDR[0]
|
||
set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to DRAM_ADDR[10]
|
||
set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to DRAM_BA_1
|
||
set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to DRAM_BA_0
|
||
set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to DRAM_CS_N
|
||
set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to DRAM_RAS_N
|
||
set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to DRAM_CAS_N
|
||
set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to DRAM_WE_N
|
||
set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to DRAM_LDQM
|
||
set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to DRAM_DQ[7]
|
||
set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to DRAM_DQ[6]
|
||
set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to DRAM_DQ[5]
|
||
set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to DRAM_DQ[4]
|
||
set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to DRAM_DQ[0]
|
||
set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to DRAM_DQ[1]
|
||
set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to DRAM_DQ[2]
|
||
set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to DRAM_DQ[3]
|
||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to DRAM_DQ[0]
|
||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to DRAM_DQ[1]
|
||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to DRAM_DQ[2]
|
||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to DRAM_DQ[3]
|
||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to DRAM_DQ[4]
|
||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to DRAM_DQ[5]
|
||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to DRAM_DQ[6]
|
||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to DRAM_DQ[7]
|
||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to DRAM_DQ[8]
|
||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to DRAM_DQ[9]
|
||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to DRAM_DQ[10]
|
||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to DRAM_DQ[11]
|
||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to DRAM_DQ[12]
|
||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to DRAM_DQ[13]
|
||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to DRAM_DQ[14]
|
||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to DRAM_DQ[15]
|
||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to DRAM_ADDR[0]
|
||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to DRAM_ADDR[1]
|
||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to DRAM_ADDR[2]
|
||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to DRAM_ADDR[3]
|
||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to DRAM_ADDR[4]
|
||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to DRAM_ADDR[5]
|
||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to DRAM_ADDR[6]
|
||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to DRAM_ADDR[7]
|
||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to DRAM_ADDR[8]
|
||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to DRAM_ADDR[9]
|
||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to DRAM_ADDR[10]
|
||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to DRAM_ADDR[11]
|
||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to DRAM_ADDR[12]
|
||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to DRAM_BA_0
|
||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to DRAM_BA_1
|
||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to DRAM_UDQM
|
||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to DRAM_LDQM
|
||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to DRAM_RAS_N
|
||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to DRAM_CAS_N
|
||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to DRAM_WE_N
|
||
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to DRAM_CS_N
|
||
|
||
set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to DRAM_DQ[0]
|
||
set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to DRAM_DQ[1]
|
||
set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to DRAM_DQ[2]
|
||
set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to DRAM_DQ[3]
|
||
set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to DRAM_DQ[4]
|
||
set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to DRAM_DQ[5]
|
||
set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to DRAM_DQ[6]
|
||
set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to DRAM_DQ[7]
|
||
set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to DRAM_DQ[8]
|
||
set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to DRAM_DQ[9]
|
||
set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to DRAM_DQ[10]
|
||
set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to DRAM_DQ[11]
|
||
set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to DRAM_DQ[12]
|
||
set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to DRAM_DQ[13]
|
||
set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to DRAM_DQ[14]
|
||
set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to DRAM_DQ[15]
|
||
|
||
set_instance_assignment -name FAST_INPUT_REGISTER ON -to DRAM_DQ[0]
|
||
set_instance_assignment -name FAST_INPUT_REGISTER ON -to DRAM_DQ[1]
|
||
set_instance_assignment -name FAST_INPUT_REGISTER ON -to DRAM_DQ[2]
|
||
set_instance_assignment -name FAST_INPUT_REGISTER ON -to DRAM_DQ[3]
|
||
set_instance_assignment -name FAST_INPUT_REGISTER ON -to DRAM_DQ[4]
|
||
set_instance_assignment -name FAST_INPUT_REGISTER ON -to DRAM_DQ[5]
|
||
set_instance_assignment -name FAST_INPUT_REGISTER ON -to DRAM_DQ[6]
|
||
set_instance_assignment -name FAST_INPUT_REGISTER ON -to DRAM_DQ[7]
|
||
set_instance_assignment -name FAST_INPUT_REGISTER ON -to DRAM_DQ[8]
|
||
set_instance_assignment -name FAST_INPUT_REGISTER ON -to DRAM_DQ[9]
|
||
set_instance_assignment -name FAST_INPUT_REGISTER ON -to DRAM_DQ[10]
|
||
set_instance_assignment -name FAST_INPUT_REGISTER ON -to DRAM_DQ[11]
|
||
set_instance_assignment -name FAST_INPUT_REGISTER ON -to DRAM_DQ[12]
|
||
set_instance_assignment -name FAST_INPUT_REGISTER ON -to DRAM_DQ[13]
|
||
set_instance_assignment -name FAST_INPUT_REGISTER ON -to DRAM_DQ[14]
|
||
set_instance_assignment -name FAST_INPUT_REGISTER ON -to DRAM_DQ[15]
|
||
|
||
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to SD_DAT2
|
||
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to SD_DAT1
|
eclaireXL/atari800core_eclaireXL.vhd | ||
---|---|---|
signal GPIOA_OUT : std_logic_vector(35 downto 0);
|
||
signal GPIOB_DIR_OUT : std_logic_vector(35 downto 0);
|
||
signal GPIOB_OUT : std_logic_vector(35 downto 0);
|
||
signal GPIOC_DIR_OUT : std_logic_vector(35 downto 0);
|
||
signal GPIOC_OUT : std_logic_vector(35 downto 0);
|
||
signal TRIGGERS : std_logic_vector(3 downto 0);
|
||
|
||
signal POT_RESET : std_logic;
|
||
... | ... | |
BEGIN
|
||
|
||
-- TODO
|
||
pbi_enable <= '0'; --SW(4);
|
||
PAL <= '1';-- SW(8);
|
||
|
||
SD_DAT2<='0';
|
||
SD_DAT1<='0';
|
||
SD_DAT2<='Z';
|
||
SD_DAT1<='Z';
|
||
|
||
-- ANYTHING NOT CONNECTED...
|
||
--GPIOA(0) <= 'Z';
|
||
... | ... | |
GPIOB(I) <= GPIOB_out(I) when GPIOB_dir_out(I)='1' else 'Z';
|
||
end generate GPIOB_gen;
|
||
|
||
-- duplicate GPIOC
|
||
GPIOC_gen:
|
||
for I in 0 to 35 generate
|
||
GPIOC(I) <= GPIOB_out(I) when GPIOB_dir_out(I)='1' else 'Z';
|
||
GPIOC(I) <= GPIOC_out(I) when GPIOC_dir_out(I)='1' else 'Z';
|
||
end generate GPIOC_gen;
|
||
|
||
gen_old_gpio : if gpio=1 generate
|
||
... | ... | |
CB1_IN <= '1';
|
||
CA2_IN <= CA2_OUT when CA2_DIR_OUT='1' else '1';
|
||
CB2_IN <= CB2_OUT when CB2_DIR_OUT='1' else '1';
|
||
pbi_enable <= '1';
|
||
end generate gen_old_gpio;
|
||
|
||
gen_new_gpio : if gpio=2 generate
|
||
... | ... | |
GPIO_0_IN => GPIOA,
|
||
GPIO_0_OUT => GPIOA_OUT,
|
||
GPIO_0_DIR_OUT => GPIOA_DIR_OUT,
|
||
GPIO_1_IN => GPIOB,
|
||
GPIO_1_OUT => GPIOB_OUT,
|
||
GPIO_1_DIR_OUT => GPIOB_DIR_OUT,
|
||
GPIO_1_IN => GPIOC,
|
||
GPIO_1_OUT => GPIOC_OUT,
|
||
GPIO_1_DIR_OUT => GPIOC_DIR_OUT,
|
||
keyboard_scan => KEYBOARD_SCAN,
|
||
pbi_addr_out => pbi_addr,
|
||
porta_out => PORTA_OUT,
|
||
... | ... | |
SIO_CLOCKIN => SIO_CLOCKIN,
|
||
SIO_CLOCKOUT => SIO_CLOCKOUT
|
||
);
|
||
|
||
GPIOB_DIR_OUT <= (others=>'0');
|
||
GPIOB_OUT <= (others=>'0');
|
||
pbi_enable <= '1';
|
||
end generate gen_new_gpio;
|
||
|
||
gen_test_gpio : if gpio=3 generate
|
||
gen_test_gpioc : if gpio=3 generate
|
||
gpio2 : entity work.gpiov3
|
||
GENERIC MAP(
|
||
cartridge_cycle_length => 26
|
||
... | ... | |
GPIO_0_IN => GPIOA,
|
||
GPIO_0_OUT => GPIOA_OUT,
|
||
GPIO_0_DIR_OUT => GPIOA_DIR_OUT,
|
||
GPIO_1_IN => GPIOC,
|
||
GPIO_1_OUT => GPIOC_OUT,
|
||
GPIO_1_DIR_OUT => GPIOC_DIR_OUT,
|
||
keyboard_scan => KEYBOARD_SCAN,
|
||
pbi_addr_out => pbi_addr,
|
||
porta_out => PORTA_OUT,
|
||
porta_output => PORTA_DIR_OUT,
|
||
lightpen => ANTIC_LIGHTPEN,
|
||
rd4 => CART_RD4,
|
||
rd5 => CART_RD5,
|
||
keyboard_response => GPIO_KEYBOARD_RESPONSE,
|
||
porta_in => PORTA_IN,
|
||
pot_in => pot_in,
|
||
trig_in => TRIGGERS,
|
||
CA2_DIR_OUT => CA2_DIR_OUT,
|
||
CA2_OUT => CA2_OUT,
|
||
CA2_IN => CA2_IN,
|
||
CB2_DIR_OUT => CB2_DIR_OUT,
|
||
CB2_OUT => CB2_OUT,
|
||
CB2_IN => CB2_IN,
|
||
SIO_IN => GPIO_SIO_RXD,
|
||
SIO_OUT => SIO_TXD,
|
||
SIO_CLOCKIN => SIO_CLOCKIN,
|
||
SIO_CLOCKOUT => SIO_CLOCKOUT
|
||
);
|
||
|
||
GPIOB_DIR_OUT <= (others=>'0');
|
||
GPIOB_OUT <= (others=>'0');
|
||
pbi_enable <= '0';
|
||
end generate gen_test_gpioc;
|
||
|
||
gen_test_gpiob : if gpio=4 generate
|
||
gpio2 : entity work.gpiov3
|
||
GENERIC MAP(
|
||
cartridge_cycle_length => 26
|
||
)
|
||
PORT MAP(clk => CLK,
|
||
reset_n => reset_n,
|
||
gpio_enable => pbi_enable,
|
||
pot_reset => pot_reset,
|
||
pbi_write_enable => pbi_write_enable,
|
||
enable_179_early => enable_179_early,
|
||
cart_request => cart_request,
|
||
cart_complete => cart_request_complete,
|
||
cart_data_read => cart_data,
|
||
s4_n => cart_s4_n,
|
||
s5_n => cart_s5_n,
|
||
cctl_n => cart_cctl_n,
|
||
cart_data_write => pbi_write_data(7 downto 0),
|
||
GPIO_0_IN => GPIOA,
|
||
GPIO_0_OUT => GPIOA_OUT,
|
||
GPIO_0_DIR_OUT => GPIOA_DIR_OUT,
|
||
GPIO_1_IN => GPIOB,
|
||
GPIO_1_OUT => GPIOB_OUT,
|
||
GPIO_1_DIR_OUT => GPIOB_DIR_OUT,
|
||
... | ... | |
SIO_CLOCKIN => SIO_CLOCKIN,
|
||
SIO_CLOCKOUT => SIO_CLOCKOUT
|
||
);
|
||
end generate gen_test_gpio;
|
||
|
||
GPIOC_DIR_OUT <= (others=>'0');
|
||
GPIOC_OUT <= (others=>'0');
|
||
|
||
pbi_enable <= '0';
|
||
end generate gen_test_gpiob;
|
||
|
||
|
||
process(clk,RESET_N,SDRAM_RESET_N,reset_atari)
|
||
begin
|
||
if ((RESET_N and SDRAM_RESET_N and not(reset_atari))='0') then
|
||
... | ... | |
--end generate;
|
||
|
||
|
||
-- USB2DM: INOUT STD_LOGIC;
|
||
-- USB2DP: INOUT STD_LOGIC;
|
||
-- USB1DM: INOUT STD_LOGIC;
|
||
-- USB1DP: INOUT STD_LOGIC;
|
||
USB2DM <= USBWireVMout(0) when USBWireOE_n(0)='0' else 'Z';
|
||
USB2DP <= USBWireVPout(0) when USBWireOE_n(0)='0' else 'Z';
|
||
USBWireVMin(0) <= USB2DM;
|
||
USBWireVPin(0) <= USB2DP;
|
||
|
||
USB1DM <= USBWireVMout(1) when USBWireOE_n(1)='0' else 'Z';
|
||
USB1DP <= USBWireVPout(1) when USBWireOE_n(1)='0' else 'Z';
|
||
USBWireVMin(1) <= USB1DM;
|
||
USBWireVPin(1) <= USB1DP;
|
||
|
||
|
||
pllusbinstance : pll_usb
|
||
PORT MAP(refclk => CLOCK_5,
|
||
outclk_0 => CLK_USB,
|
||
... | ... | |
|
||
-- PS2 to pokey
|
||
keyboard_map1 : entity work.ps2_to_atari800
|
||
GENERIC MAP
|
||
(
|
||
ps2_enable => 1,
|
||
direct_enable => 1
|
||
)
|
||
PORT MAP
|
||
(
|
||
CLK => clk,
|
||
RESET_N => reset_n,
|
||
PS2_CLK => ps2clk,
|
||
PS2_DAT => ps2dat,
|
||
|
||
INPUT => zpu_out4,
|
||
|
||
KEYBOARD_SCAN => KEYBOARD_SCAN,
|
||
KEYBOARD_RESPONSE => PS2_KEYBOARD_RESPONSE,
|
||
... | ... | |
CB2_IN => CB2_IN,
|
||
CB2_OUT => CB2_OUT,
|
||
CB2_DIR_OUT => CB2_DIR_OUT,
|
||
PORTA_IN => PORTA_IN and not("0000"&ps2_keys(16#174#)&ps2_keys(16#16B#)&ps2_keys(16#172#)&ps2_keys(16#175#)),
|
||
PORTA_IN => PORTA_IN and not("0000"&ps2_keys(16#174#)&ps2_keys(16#16B#)&ps2_keys(16#172#)&ps2_keys(16#175#)) and not(zpu_out3(0)&zpu_out3(1)&zpu_out3(2)&zpu_out3(3)&zpu_out2(0)&zpu_out2(1)&zpu_out2(2)&zpu_out2(3)),
|
||
PORTA_DIR_OUT => PORTA_DIR_OUT,
|
||
PORTA_OUT => PORTA_OUT,
|
||
PORTB_IN => PORTB_IN,
|
||
... | ... | |
CONSOL_OPTION => CONSOL_OPTION,
|
||
CONSOL_SELECT => CONSOL_SELECT,
|
||
CONSOL_START=> CONSOL_START,
|
||
GTIA_TRIG => GTIA_TRIG and not("000"&ps2_keys(16#127#)),
|
||
|
||
GTIA_TRIG => GTIA_TRIG and not("000"&ps2_keys(16#127#)) and not("00"&zpu_out3(4)&zpu_out2(4)),
|
||
ANTIC_LIGHTPEN => ANTIC_LIGHTPEN,
|
||
|
||
SDRAM_REQUEST => SDRAM_REQUEST,
|
||
... | ... | |
GENERIC MAP
|
||
(
|
||
platform => 1,
|
||
spi_clock_div => 1, -- 28MHz/2. Max for SD cards is 25MHz...
|
||
spi_clock_div => 2, -- 28MHz/2. Max for SD cards is 25MHz...
|
||
memory => 8192,
|
||
usb => 2
|
||
)
|
||
... | ... | |
zpu_rom1: entity work.zpu_rom
|
||
port map(
|
||
clock => clk,
|
||
address => zpu_addr_rom(13 downto 2),
|
||
address => zpu_addr_rom(14 downto 2),
|
||
q => zpu_rom_data
|
||
);
|
||
|
||
... | ... | |
dac_out => AUDIO_RIGHT
|
||
);
|
||
|
||
|
||
-- TODO wire the joysticks via USB up
|
||
--USB_JOY1 <= zpu_out2(5 downto 4)&zpu_out2(0)&zpu_out2(1)&zpu_out2(2)&zpu_out2(3);
|
||
--USB_JOY2 <= zpu_out3(5 downto 4)&zpu_out3(0)&zpu_out3(1)&zpu_out3(2)&zpu_out3(3);
|
||
|
||
END vhdl;
|
eclaireXL/build.sh | ||
---|---|---|
"A2EBA" =>
|
||
{
|
||
"TV" => 2,
|
||
"GPIO" => 3,
|
||
"GPIO" => 2,
|
||
"internal_ram" => 0,
|
||
"internal_rom" => 0
|
||
},
|
||
... | ... | |
`cp atari800core_eclaireXL.vhd $dir`;
|
||
`cp -a *pll* $dir`;
|
||
`cp -a *gpioram* $dir`;
|
||
`cp -a *zpu_rom* $dir`;
|
||
#`cp -a *serial_loader* $dir`;
|
||
`cp *.v $dir`;
|
||
`cp *.vhd* $dir`;
|
eclaireXL/gpiov3.vhd | ||
---|---|---|
when state_drive =>
|
||
state_next <= state_read;
|
||
|
||
--preare to read
|
||
gpio0_out_next <= (others=>'0');
|
||
gpio1_out_next <= (others=>'0');
|
||
--prepare to read
|
||
gpio0_dir_next <= (others=>'0');
|
||
gpio1_dir_next <= (others=>'0');
|
||
|
eclaireXL/makemif | ||
---|---|---|
../firmware/mif_file_stuff/zpuromgen ../firmware/ECLAIREXL.bin > zpu_rom.mif_insides
|
||
cat ../firmware/mif_file_stuff/rom_prologue.vhd zpu_rom.mif_insides ../firmware/mif_file_stuff/rom_epilogue.vhd > zpu_rom.mif
|
||
cp zpu_rom.mif build_A2EBA/
|
||
quartus_cdb --update_mif build_A2EBA/atari800core_eclaireXL.qpf
|
||
quartus_asm build_A2EBA/atari800core_eclaireXL.qpf
|
||
|
||
eclaireXL/pll_usb/pll_usb_0002.v | ||
---|---|---|
.reference_clock_frequency("5.0 MHz"),
|
||
.operation_mode("direct"),
|
||
.number_of_clocks(1),
|
||
.output_clock_frequency0("12.000000 MHz"),
|
||
.output_clock_frequency0("48.000000 MHz"),
|
||
.phase_shift0("0 ps"),
|
||
.duty_cycle0(50),
|
||
.output_clock_frequency1("0 MHz"),
|
eclaireXL/pll_usb.bsf | ||
---|---|---|
the Block Editor! File corruption is VERY likely to occur.
|
||
*/
|
||
/*
|
||
Copyright (C) 1991-2014 Altera Corporation. All rights reserved.
|
||
Copyright (C) 1991-2015 Altera Corporation. All rights reserved.
|
||
Your use of Altera Corporation's design tools, logic functions
|
||
and other software and tools, and its AMPP partner logic
|
||
functions, and any output files from any of the foregoing
|
eclaireXL/pll_usb.qip | ||
---|---|---|
set_global_assignment -entity "pll_usb" -library "pll_usb" -name IP_TOOL_NAME "altera_pll"
|
||
set_global_assignment -entity "pll_usb" -library "pll_usb" -name IP_TOOL_VERSION "14.0"
|
||
set_global_assignment -entity "pll_usb" -library "pll_usb" -name IP_TOOL_VERSION "15.0"
|
||
set_global_assignment -entity "pll_usb" -library "pll_usb" -name IP_TOOL_ENV "mwpim"
|
||
set_global_assignment -library "pll_usb" -name MISC_FILE [file join $::quartus(qip_path) "pll_usb.cmp"]
|
||
set_global_assignment -entity "pll_usb" -library "pll_usb" -name IP_TARGETED_DEVICE_FAMILY "Cyclone V"
|
||
... | ... | |
set_global_assignment -entity "pll_usb" -library "pll_usb" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
|
||
set_global_assignment -entity "pll_usb" -library "pll_usb" -name IP_COMPONENT_INTERNAL "Off"
|
||
set_global_assignment -entity "pll_usb" -library "pll_usb" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
|
||
set_global_assignment -entity "pll_usb" -library "pll_usb" -name IP_COMPONENT_VERSION "MTQuMA=="
|
||
set_global_assignment -entity "pll_usb" -library "pll_usb" -name IP_COMPONENT_VERSION "MTUuMA=="
|
||
set_global_assignment -entity "pll_usb" -library "pll_usb" -name IP_COMPONENT_DESCRIPTION "QWx0ZXJhIFBoYXNlLUxvY2tlZCBMb29wIChBTFRFUkFfUExMKQ=="
|
||
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_COMPONENT_NAME "cGxsX3VzYl8wMDAy"
|
||
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_COMPONENT_DISPLAY_NAME "QWx0ZXJhIFBMTA=="
|
||
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
|
||
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_COMPONENT_INTERNAL "Off"
|
||
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
|
||
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_COMPONENT_VERSION "MTQuMA=="
|
||
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_COMPONENT_VERSION "MTUuMA=="
|
||
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_COMPONENT_DESCRIPTION "QWx0ZXJhIFBoYXNlLUxvY2tlZCBMb29wIChBTFRFUkFfUExMKQ=="
|
||
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_COMPONENT_PARAMETER "ZGVidWdfcHJpbnRfb3V0cHV0::ZmFsc2U=::ZGVidWdfcHJpbnRfb3V0cHV0"
|
||
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_COMPONENT_PARAMETER "ZGVidWdfdXNlX3JiY190YWZfbWV0aG9k::ZmFsc2U=::ZGVidWdfdXNlX3JiY190YWZfbWV0aG9k"
|
||
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_COMPONENT_PARAMETER "ZGV2aWNl::VW5rbm93bg==::ZGV2aWNl"
|
||
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_COMPONENT_PARAMETER "Z3VpX2RldmljZV9zcGVlZF9ncmFkZQ==::OA==::RGV2aWNlIFNwZWVkIEdyYWRl"
|
||
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_COMPONENT_PARAMETER "Z3VpX2RldmljZV9zcGVlZF9ncmFkZQ==::Mg==::RGV2aWNlIFNwZWVkIEdyYWRl"
|
||
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_COMPONENT_PARAMETER "Z3VpX3BsbF9tb2Rl::SW50ZWdlci1OIFBMTA==::UExMIE1vZGU="
|
||
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_COMPONENT_PARAMETER "ZnJhY3Rpb25hbF92Y29fbXVsdGlwbGllcg==::ZmFsc2U=::ZnJhY3Rpb25hbF92Y29fbXVsdGlwbGllcg=="
|
||
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_COMPONENT_PARAMETER "Z3VpX3JlZmVyZW5jZV9jbG9ja19mcmVxdWVuY3k=::NS4w::UmVmZXJlbmNlIENsb2NrIEZyZXF1ZW5jeQ=="
|
||
... | ... | |
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_COMPONENT_PARAMETER "Z3VpX2ZyYWNfbXVsdGlwbHlfZmFjdG9y::MQ==::RnJhY3Rpb25hbCBNdWx0aXBseSBGYWN0b3IgKEsp"
|
||
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3Jfbg==::MQ==::RGl2aWRlIEZhY3RvciAoTi1Db3VudGVyKQ=="
|
||
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjA=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
|
||
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kw::MTIuMA==::RGVzaXJlZCBGcmVxdWVuY3k="
|
||
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kw::NDguMA==::RGVzaXJlZCBGcmVxdWVuY3k="
|
||
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzA=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
|
||
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3Iw::NjA=::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
|
||
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3Iw::OTY=::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
|
||
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjA=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
|
||
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMA==::MjU=::QWN0dWFsIERpdmlkZSBGYWN0b3I="
|
||
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMA==::MTA=::QWN0dWFsIERpdmlkZSBGYWN0b3I="
|
||
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MA==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
|
||
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMA==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
|
||
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MA==::MA==::UGhhc2UgU2hpZnQ="
|
||
... | ... | |
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE3::MC4w::UGhhc2UgU2hpZnQ="
|
||
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE3::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
|
||
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxNw==::NTA=::RHV0eSBDeWNsZQ=="
|
||
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTA=::MTIuMDAwMDAwIE1Ieg==::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTA="
|
||
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTA=::NDguMDAwMDAwIE1Ieg==::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTA="
|
||
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQw::MCBwcw==::cGhhc2Vfc2hpZnQw"
|
||
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTA=::NTA=::ZHV0eV9jeWNsZTA="
|
||
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE="
|
||
... | ... | |
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_COMPONENT_PARAMETER "cGxsX3R5cGU=::R2VuZXJhbA==::UExMIFRZUEU="
|
||
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_COMPONENT_PARAMETER "cGxsX3N1YnR5cGU=::R2VuZXJhbA==::UExMIFNVQlRZUEU="
|
||
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_COMPONENT_PARAMETER "Z3VpX3BhcmFtZXRlcl9saXN0::TS1Db3VudGVyIEhpIERpdmlkZSxNLUNvdW50ZXIgTG93IERpdmlkZSxOLUNvdW50ZXIgSGkgRGl2aWRlLE4tQ291bnRlciBMb3cgRGl2aWRlLE0tQ291bnRlciBCeXBhc3MgRW5hYmxlLE4tQ291bnRlciBCeXBhc3MgRW5hYmxlLE0tQ291bnRlciBPZGQgRGl2aWRlIEVuYWJsZSxOLUNvdW50ZXIgT2RkIERpdmlkZSBFbmFibGUsQy1Db3VudGVyLTAgSGkgRGl2aWRlLEMtQ291bnRlci0wIExvdyBEaXZpZGUsQy1Db3VudGVyLTAgQ29hcnNlIFBoYXNlIFNoaWZ0LEMtQ291bnRlci0wIFZDTyBQaGFzZSBUYXAsQy1Db3VudGVyLTAgSW5wdXQgU291cmNlLEMtQ291bnRlci0wIEJ5cGFzcyBFbmFibGUsQy1Db3VudGVyLTAgT2RkIERpdmlkZSBFbmFibGUsVkNPIFBvc3QgRGl2aWRlIENvdW50ZXIgRW5hYmxlLENoYXJnZSBQdW1wIGN1cnJlbnQgKHVBKSxMb29wIEZpbHRlciBCYW5kd2lkdGggUmVzaXN0b3IgKE9obXMpICxQTEwgT3V0cHV0IFZDTyBGcmVxdWVuY3ksSy1GcmFjdGlvbmFsIERpdmlzaW9uIFZhbHVlIChEU00pLEZlZWRiYWNrIENsb2NrIFR5cGUsRmVlZGJhY2sgQ2xvY2sgTVVYIDEsRmVlZGJhY2sgQ2xvY2sgTVVYIDIsTSBDb3VudGVyIFNvdXJjZSBNVVgsUExMIEF1dG8gUmVzZXQ=::UGFyYW1ldGVyIE5hbWVz"
|
||
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_COMPONENT_PARAMETER "Z3VpX3BhcmFtZXRlcl92YWx1ZXM=::MzAsMzAsMjU2LDI1NixmYWxzZSx0cnVlLGZhbHNlLGZhbHNlLDEzLDEyLDEsMCxwaF9tdXhfY2xrLGZhbHNlLHRydWUsMiwyMCwxMDAwMCwzMDAuMCBNSHosMSxub25lLGdsYixtX2NudCxwaF9tdXhfY2xrLHRydWU=::UGFyYW1ldGVyIFZhbHVlcw=="
|
||
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_COMPONENT_PARAMETER "Z3VpX3BhcmFtZXRlcl92YWx1ZXM=::NDgsNDgsMjU2LDI1NixmYWxzZSx0cnVlLGZhbHNlLGZhbHNlLDUsNSwxLDAscGhfbXV4X2NsayxmYWxzZSxmYWxzZSwyLDIwLDEyMDAwLDQ4MC4wIE1IeiwxLG5vbmUsZ2xiLG1fY250LHBoX211eF9jbGssdHJ1ZQ==::UGFyYW1ldGVyIFZhbHVlcw=="
|
||
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_COMPONENT_PARAMETER "Z3VpX21pZl9nZW5lcmF0ZQ==::ZmFsc2U=::R2VuZXJhdGUgTUlGIGZpbGU="
|
||
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9taWZfZHBz::ZmFsc2U=::RW5hYmxlIER5bmFtaWMgUGhhc2UgU2hpZnQgZm9yIE1JRiBzdHJlYW1pbmc="
|
||
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_COMPONENT_PARAMETER "Z3VpX2Rwc19jbnRy::QzA=::RFBTIENvdW50ZXIgU2VsZWN0aW9u"
|
||
... | ... | |
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_COMPONENT_PARAMETER "Z3VpX3JlZmNsa19zd2l0Y2g=::ZmFsc2U=::Q3JlYXRlIGEgc2Vjb25kIGlucHV0IGNsayAncmVmY2xrMSc="
|
||
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9jYXNjYWRlX291dA==::ZmFsc2U=::Q3JlYXRlIGEgJ2Nhc2NhZGVfb3V0JyBzaWduYWwgdG8gY29ubmVjdCB3aXRoIGEgZG93bnN0cmVhbSBQTEw="
|
||
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9jYXNjYWRlX2lu::ZmFsc2U=::Q3JlYXRlIGFuIGFkanBsbGluIG9yIGNjbGsgc2lnbmFsIHRvIGNvbm5lY3Qgd2l0aCBhbiB1cHN0cmVhbSBQTEw="
|
||
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_COMPONENT_PARAMETER "QVVUT19SRUZDTEtfQ0xPQ0tfUkFURQ==::LTE=::QXV0byBDTE9DS19SQVRF"
|
||
|
||
set_global_assignment -library "pll_usb" -name VHDL_FILE [file join $::quartus(qip_path) "pll_usb.vhd"]
|
||
set_global_assignment -library "pll_usb" -name VERILOG_FILE [file join $::quartus(qip_path) "pll_usb/pll_usb_0002.v"]
|
||
set_global_assignment -library "pll_usb" -name QIP_FILE [file join $::quartus(qip_path) "pll_usb/pll_usb_0002.qip"]
|
||
|
||
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_TOOL_NAME "altera_pll"
|
||
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_TOOL_VERSION "14.0"
|
||
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_TOOL_VERSION "15.0"
|
||
set_global_assignment -entity "pll_usb_0002" -library "pll_usb" -name IP_TOOL_ENV "mwpim"
|
eclaireXL/pll_usb.sip | ||
---|---|---|
set_global_assignment -entity "pll_usb" -library "lib_pll_usb" -name IP_TOOL_NAME "altera_pll"
|
||
set_global_assignment -entity "pll_usb" -library "lib_pll_usb" -name IP_TOOL_VERSION "14.0"
|
||
set_global_assignment -entity "pll_usb" -library "lib_pll_usb" -name IP_TOOL_VERSION "15.0"
|
||
set_global_assignment -entity "pll_usb" -library "lib_pll_usb" -name IP_TOOL_ENV "mwpim"
|
||
set_global_assignment -library "lib_pll_usb" -name SPD_FILE [file join $::quartus(sip_path) "pll_usb.spd"]
|
||
|
eclaireXL/pll_usb.vhd | ||
---|---|---|
-- megafunction wizard: %Altera PLL v14.0%
|
||
-- megafunction wizard: %Altera PLL v15.0%
|
||
-- GENERATION: XML
|
||
-- pll_usb.vhd
|
||
|
||
-- Generated using ACDS version 14.0 200 at 2015.07.12.07:16:05
|
||
-- Generated using ACDS version 15.0 145
|
||
|
||
library IEEE;
|
||
use IEEE.std_logic_1164.all;
|
||
... | ... | |
-- their respective licensors. No other licenses, including any licenses
|
||
-- needed under any third party's intellectual property, are provided herein.
|
||
---->
|
||
-- Retrieval info: <instance entity-name="altera_pll" version="14.0" >
|
||
-- Retrieval info: <instance entity-name="altera_pll" version="15.0" >
|
||
-- Retrieval info: <generic name="debug_print_output" value="false" />
|
||
-- Retrieval info: <generic name="debug_use_rbc_taf_method" value="false" />
|
||
-- Retrieval info: <generic name="device_family" value="Cyclone V" />
|
||
-- Retrieval info: <generic name="device" value="Unknown" />
|
||
-- Retrieval info: <generic name="gui_device_speed_grade" value="8" />
|
||
-- Retrieval info: <generic name="gui_device_speed_grade" value="2" />
|
||
-- Retrieval info: <generic name="gui_pll_mode" value="Integer-N PLL" />
|
||
-- Retrieval info: <generic name="gui_reference_clock_frequency" value="5.0" />
|
||
-- Retrieval info: <generic name="gui_channel_spacing" value="0.0" />
|
||
... | ... | |
-- Retrieval info: <generic name="gui_frac_multiply_factor" value="1" />
|
||
-- Retrieval info: <generic name="gui_divide_factor_n" value="1" />
|
||
-- Retrieval info: <generic name="gui_cascade_counter0" value="false" />
|
||
-- Retrieval info: <generic name="gui_output_clock_frequency0" value="12.0" />
|
||
-- Retrieval info: <generic name="gui_output_clock_frequency0" value="48.0" />
|
||
-- Retrieval info: <generic name="gui_divide_factor_c0" value="1" />
|
||
-- Retrieval info: <generic name="gui_actual_output_clock_frequency0" value="0 MHz" />
|
||
-- Retrieval info: <generic name="gui_ps_units0" value="ps" />
|
||
... | ... | |
-- Retrieval info: <generic name="gui_en_dps_ports" value="false" />
|
||
-- Retrieval info: <generic name="gui_en_phout_ports" value="false" />
|
||
-- Retrieval info: <generic name="gui_phout_division" value="1" />
|
||
-- Retrieval info: <generic name="gui_en_lvds_ports" value="false" />
|
||
-- Retrieval info: <generic name="gui_mif_generate" value="false" />
|
||
-- Retrieval info: <generic name="gui_enable_mif_dps" value="false" />
|
||
-- Retrieval info: <generic name="gui_dps_cntr" value="C0" />
|
||
... | ... | |
-- Retrieval info: <generic name="gui_cascade_outclk_index" value="0" />
|
||
-- Retrieval info: <generic name="gui_enable_cascade_in" value="false" />
|
||
-- Retrieval info: <generic name="gui_pll_cascading_mode" value="Create an adjpllin signal to connect with an upstream PLL" />
|
||
-- Retrieval info: <generic name="AUTO_REFCLK_CLOCK_RATE" value="-1" />
|
||
-- Retrieval info: </instance>
|
||
-- IPFS_FILES : pll_usb.vho
|
||
-- RELATED_FILES: pll_usb.vhd, pll_usb_0002.v
|
eclaireXL/pll_usb_sim/aldec/rivierapro_setup.tcl | ||
---|---|---|
# or its authorized distributors. Please refer to the applicable
|
||
# agreement for further details.
|
||
|
||
# ACDS 14.0 200 linux 2015.07.12.07:16:21
|
||
# ACDS 15.0 145 linux 2015.08.23.14:08:13
|
||
|
||
# ----------------------------------------
|
||
# Auto-generated simulation script
|
||
... | ... | |
}
|
||
|
||
if ![info exists QUARTUS_INSTALL_DIR] {
|
||
set QUARTUS_INSTALL_DIR "/home/markw/fpga/altera/14.0/quartus/"
|
||
set QUARTUS_INSTALL_DIR "/home/markw/fpga/altera/15.0/quartus/"
|
||
}
|
||
|
||
# ----------------------------------------
|
||
... | ... | |
# Compile device library files
|
||
alias dev_com {
|
||
echo "\[exec\] dev_com"
|
||
vcom "$QUARTUS_INSTALL_DIR/eda/sim_lib/altera_syn_attributes.vhd" -work altera
|
||
vcom "$QUARTUS_INSTALL_DIR/eda/sim_lib/altera_standard_functions.vhd" -work altera
|
||
vcom "$QUARTUS_INSTALL_DIR/eda/sim_lib/alt_dspbuilder_package.vhd" -work altera
|
||
vcom "$QUARTUS_INSTALL_DIR/eda/sim_lib/altera_europa_support_lib.vhd" -work altera
|
||
vcom "$QUARTUS_INSTALL_DIR/eda/sim_lib/altera_primitives_components.vhd" -work altera
|
||
vcom "$QUARTUS_INSTALL_DIR/eda/sim_lib/altera_primitives.vhd" -work altera
|
||
vcom "$QUARTUS_INSTALL_DIR/eda/sim_lib/220pack.vhd" -work lpm
|
||
vcom "$QUARTUS_INSTALL_DIR/eda/sim_lib/220model.vhd" -work lpm
|
||
vcom "$QUARTUS_INSTALL_DIR/eda/sim_lib/sgate_pack.vhd" -work sgate
|
||
vcom "$QUARTUS_INSTALL_DIR/eda/sim_lib/sgate.vhd" -work sgate
|
||
vcom "$QUARTUS_INSTALL_DIR/eda/sim_lib/altera_mf_components.vhd" -work altera_mf
|
||
vcom "$QUARTUS_INSTALL_DIR/eda/sim_lib/altera_mf.vhd" -work altera_mf
|
||
vlog "$QUARTUS_INSTALL_DIR/eda/sim_lib/altera_lnsim.sv" -work altera_lnsim
|
||
vcom "$QUARTUS_INSTALL_DIR/eda/sim_lib/altera_lnsim_components.vhd" -work altera_lnsim
|
||
vlog "$QUARTUS_INSTALL_DIR/eda/sim_lib/aldec/cyclonev_atoms_ncrypt.v" -work cyclonev
|
||
vcom "$QUARTUS_INSTALL_DIR/eda/sim_lib/cyclonev_atoms.vhd" -work cyclonev
|
||
vcom "$QUARTUS_INSTALL_DIR/eda/sim_lib/cyclonev_components.vhd" -work cyclonev
|
||
vcom "$QUARTUS_INSTALL_DIR/eda/sim_lib/altera_syn_attributes.vhd" -work altera
|
||
vcom "$QUARTUS_INSTALL_DIR/eda/sim_lib/altera_standard_functions.vhd" -work altera
|
||
vcom "$QUARTUS_INSTALL_DIR/eda/sim_lib/alt_dspbuilder_package.vhd" -work altera
|
||
vcom "$QUARTUS_INSTALL_DIR/eda/sim_lib/altera_europa_support_lib.vhd" -work altera
|
||
vcom "$QUARTUS_INSTALL_DIR/eda/sim_lib/altera_primitives_components.vhd" -work altera
|
||
vcom "$QUARTUS_INSTALL_DIR/eda/sim_lib/altera_primitives.vhd" -work altera
|
||
vcom "$QUARTUS_INSTALL_DIR/eda/sim_lib/220pack.vhd" -work lpm
|
||
vcom "$QUARTUS_INSTALL_DIR/eda/sim_lib/220model.vhd" -work lpm
|
||
vcom "$QUARTUS_INSTALL_DIR/eda/sim_lib/sgate_pack.vhd" -work sgate
|
||
vcom "$QUARTUS_INSTALL_DIR/eda/sim_lib/sgate.vhd" -work sgate
|
||
vcom "$QUARTUS_INSTALL_DIR/eda/sim_lib/altera_mf_components.vhd" -work altera_mf
|
||
vcom "$QUARTUS_INSTALL_DIR/eda/sim_lib/altera_mf.vhd" -work altera_mf
|
||
vlog "$QUARTUS_INSTALL_DIR/eda/sim_lib/altera_lnsim.sv" -work altera_lnsim
|
||
vcom "$QUARTUS_INSTALL_DIR/eda/sim_lib/altera_lnsim_components.vhd" -work altera_lnsim
|
||
vlog -v2k5 "$QUARTUS_INSTALL_DIR/eda/sim_lib/aldec/cyclonev_atoms_ncrypt.v" -work cyclonev
|
||
vcom "$QUARTUS_INSTALL_DIR/eda/sim_lib/cyclonev_atoms.vhd" -work cyclonev
|
||
vcom "$QUARTUS_INSTALL_DIR/eda/sim_lib/cyclonev_components.vhd" -work cyclonev
|
||
}
|
||
|
||
# ----------------------------------------
|
eclaireXL/pll_usb_sim/cadence/ncsim_setup.sh | ||
---|---|---|
# or its authorized distributors. Please refer to the applicable
|
||
# agreement for further details.
|
||
|
||
# ACDS 14.0 200 linux 2015.07.12.07:16:21
|
||
# ACDS 15.0 145 linux 2015.08.23.14:08:13
|
||
|
||
# ----------------------------------------
|
||
# ncsim - auto-generated simulation script
|
||
... | ... | |
# initialize variables
|
||
TOP_LEVEL_NAME="pll_usb"
|
||
QSYS_SIMDIR="./../"
|
||
QUARTUS_INSTALL_DIR="/home/markw/fpga/altera/14.0/quartus/"
|
||
QUARTUS_INSTALL_DIR="/home/markw/fpga/altera/15.0/quartus/"
|
||
SKIP_FILE_COPY=0
|
||
SKIP_DEV_COM=0
|
||
SKIP_COM=0
|
eclaireXL/pll_usb_sim/mentor/msim_setup.tcl | ||
---|---|---|
# or its authorized distributors. Please refer to the applicable
|
||
# agreement for further details.
|
||
|
||
# ACDS 14.0 200 linux 2015.07.12.07:16:21
|
||
# ACDS 15.0 145 linux 2015.08.23.14:08:13
|
||
|
||
# ----------------------------------------
|
||
# Auto-generated simulation script
|
||
... | ... | |
}
|
||
|
||
if ![info exists QUARTUS_INSTALL_DIR] {
|
||
set QUARTUS_INSTALL_DIR "/home/markw/fpga/altera/14.0/quartus/"
|
||
set QUARTUS_INSTALL_DIR "/home/markw/fpga/altera/15.0/quartus/"
|
||
}
|
||
|
||
# ----------------------------------------
|
eclaireXL/pll_usb_sim/pll_usb.vho | ||
---|---|---|
--IP Functional Simulation Model
|
||
--VERSION_BEGIN 14.0 cbx_mgl 2014:06:05:10:17:12:SJ cbx_simgen 2014:06:05:09:45:41:SJ VERSION_END
|
||
--VERSION_BEGIN 15.0 cbx_mgl 2015:04:15:20:18:26:SJ cbx_simgen 2015:04:15:19:11:39:SJ VERSION_END
|
||
|
||
|
||
-- Copyright (C) 1991-2014 Altera Corporation. All rights reserved.
|
||
-- Copyright (C) 1991-2015 Altera Corporation. All rights reserved.
|
||
-- Your use of Altera Corporation's design tools, logic functions
|
||
-- and other software and tools, and its AMPP partner logic
|
||
-- functions, and any output files from any of the foregoing
|
||
... | ... | |
n_cnt_odd_div_duty_en => "false",
|
||
number_of_clocks => 1,
|
||
operation_mode => "direct",
|
||
output_clock_frequency0 => "12.000000 MHz",
|
||
output_clock_frequency0 => "48.000000 MHz",
|
||
output_clock_frequency1 => "0 MHz",
|
||
output_clock_frequency10 => "0 MHz",
|
||
output_clock_frequency11 => "0 MHz",
|
||
... | ... | |
phase_shift8 => "0 ps",
|
||
phase_shift9 => "0 ps",
|
||
pll_auto_clk_sw_en => "false",
|
||
pll_bw_sel => "low",
|
||
pll_bwctrl => 0,
|
||
pll_clk_loss_sw_en => "false",
|
||
pll_clk_sw_dly => 0,
|
eclaireXL/pll_usb_sim/synopsys/vcsmx/vcsmx_setup.sh | ||
---|---|---|
# or its authorized distributors. Please refer to the applicable
|
||
# agreement for further details.
|
||
|
||
# ACDS 14.0 200 linux 2015.07.12.07:16:21
|
||
# ACDS 15.0 145 linux 2015.08.23.14:08:13
|
||
|
||
# ----------------------------------------
|
||
# vcsmx - auto-generated simulation script
|
||
... | ... | |
# initialize variables
|
||
TOP_LEVEL_NAME="pll_usb"
|
||
QSYS_SIMDIR="./../../"
|
||
QUARTUS_INSTALL_DIR="/home/markw/fpga/altera/14.0/quartus/"
|
||
QUARTUS_INSTALL_DIR="/home/markw/fpga/altera/15.0/quartus/"
|
||
SKIP_FILE_COPY=0
|
||
SKIP_DEV_COM=0
|
||
SKIP_COM=0
|
eclaireXL/zpu_rom.vhdl | ||
---|---|---|
entity zpu_rom is
|
||
port(
|
||
clock:in std_logic;
|
||
address:in std_logic_vector(11 downto 0);
|
||
address:in std_logic_vector(12 downto 0);
|
||
q:out std_logic_vector(31 downto 0)
|
||
);
|
||
end zpu_rom;
|
||
|
||
architecture syn of zpu_rom is
|
||
type rom_type is array(0 to 4095) of std_logic_vector(31 downto 0);
|
||
type rom_type is array(0 to 8191) of std_logic_vector(31 downto 0);
|
||
signal ROM:rom_type:=
|
||
(
|
||
X"0b0b0b89",
|
||
... | ... | |
X"00000000",
|
||
X"00000000",
|
||
X"71fc0608",
|
||
X"0b0b80f7",
|
||
X"80738306",
|
||
X"0b0b81eb",
|
||
X"e4738306",
|
||
X"10100508",
|
||
X"060b0b0b",
|
||
X"88a20400",
|
||
... | ... | |
X"00000000",
|
||
X"00000000",
|
||
X"00000000",
|
||
X"810b80fb",
|
||
X"d00c5104",
|
||
X"810b81f5",
|
||
X"980c5104",
|
||
X"00000000",
|
||
X"00000000",
|
||
X"00000000",
|
||
... | ... | |
X"0a100a53",
|
||
X"72ed3851",
|
||
X"51535104",
|
||
X"83e08008",
|
||
X"83e08408",
|
||
X"83e08808",
|
||
X"757580f4",
|
||
X"ae2d5050",
|
||
X"83e08008",
|
||
X"5683e088",
|
||
X"0c83e084",
|
||
X"0c83e080",
|
||
X"83c08008",
|
||
X"83c08408",
|
||
X"83c08808",
|
||
X"757580f8",
|
||
X"a12d5050",
|
||
X"83c08008",
|
||
X"5683c088",
|
||
X"0c83c084",
|
||
X"0c83c080",
|
||
X"0c510483",
|
||
X"e0800883",
|
||
X"e0840883",
|
||
X"e0880875",
|
||
X"7580f3ed",
|
||
X"c0800883",
|
||
X"c0840883",
|
||
X"c0880875",
|
||
X"7580f7e0",
|
||
X"2d505083",
|
||
X"e0800856",
|
||
X"83e0880c",
|
||
X"83e0840c",
|
||
X"83e0800c",
|
||
X"c0800856",
|
||
X"83c0880c",
|
||
X"83c0840c",
|
||
X"83c0800c",
|
||
X"51040000",
|
||
X"800489aa",
|
||
X"0489aa0b",
|
||
X"80ddfc04",
|
||
X"80e1ee04",
|
||
X"fd3d0d75",
|
||
X"705254ae",
|
||
X"a33f83e0",
|
||
X"aa3f83c0",
|
||
X"80081453",
|
||
X"72742e92",
|
||
X"38ff1370",
|
||
... | ... | |
X"af2e0981",
|
||
X"06ee3881",
|
||
X"13537283",
|
||
X"e0800c85",
|
||
X"c0800c85",
|
||
X"3d0d04fd",
|
||
X"3d0d7577",
|
||
X"70535454",
|
||
X"c73f83e0",
|
||
X"c73f83c0",
|
||
X"8008732e",
|
||
X"a13883e0",
|
||
X"a13883c0",
|
||
X"80087331",
|
||
X"52ff1252",
|
||
X"71ff2e8f",
|
||
... | ... | |
X"04803d0d",
|
||
X"7251ff90",
|
||
X"3f823d0d",
|
||
X"047183e0",
|
||
X"047183c0",
|
||
X"800c0480",
|
||
X"3d0d7251",
|
||
X"80713481",
|
||
... | ... | |
X"800b80c0",
|
||
X"120c823d",
|
||
X"0d04800b",
|
||
X"83e2c008",
|
||
X"83c2d008",
|
||
X"248a38b4",
|
||
X"f43fff0b",
|
||
X"83e2c00c",
|
||
X"800b83e0",
|
||
X"fb3fff0b",
|
||
X"83c2d00c",
|
||
X"800b83c0",
|
||
X"800c04ff",
|
||
X"3d0d7352",
|
||
X"83e09c08",
|
||
X"83c0ac08",
|
||
X"722e8d38",
|
||
X"d93f7151",
|
||
X"96993f71",
|
||
X"83e09c0c",
|
||
X"96a03f71",
|
||
X"83c0ac0c",
|
||
X"833d0d04",
|
||
X"f43d0d7e",
|
||
X"60625c5a",
|
||
X"558154bc",
|
||
X"15088191",
|
||
X"387451cf",
|
||
X"3f795880",
|
||
X"7a2580f7",
|
||
X"3883e2f0",
|
||
X"0870892a",
|
||
X"5783ff06",
|
||
X"78848072",
|
||
X"31565657",
|
||
X"73782583",
|
||
X"38735575",
|
||
X"83e2c008",
|
||
X"2e8438ff",
|
||
X"893f83e2",
|
||
X"c0088025",
|
||
X"a6387589",
|
||
X"2b5198dc",
|
||
X"3f83e2f0",
|
||
X"088f3dfc",
|
||
X"11555c54",
|
||
X"8152f81b",
|
||
X"5196c63f",
|
||
X"761483e2",
|
||
X"f00c7583",
|
||
X"e2c00c74",
|
||
X"53765278",
|
||
X"51b3a53f",
|
||
X"83e08008",
|
||
X"83e2f008",
|
||
X"1683e2f0",
|
||
X"0c787631",
|
||
X"761b5b59",
|
||
X"56778024",
|
||
X"ff8b3861",
|
||
X"7a710c54",
|
||
X"75547580",
|
||
X"55805681",
|
||
X"54bc1508",
|
||
X"762e0981",
|
||
X"06819138",
|
||
X"7451c83f",
|
||
X"7958757a",
|
||
X"2580f738",
|
||
X"83c38008",
|
||
X"70892a57",
|
||
X"83ff0678",
|
||
X"84807231",
|
||
X"56565773",
|
||
X"78258338",
|
||
X"73557583",
|
||
X"c2d0082e",
|
||
X"8438ff82",
|
||
X"3f83c2d0",
|
||
X"088025a6",
|
||
X"3875892b",
|
||
X"5198dc3f",
|
||
X"83c38008",
|
||
X"8f3dfc11",
|
||
X"555c5481",
|
||
X"52f81b51",
|
||
X"96c63f76",
|
||
X"1483c380",
|
||
X"0c7583c2",
|
||
X"d00c7453",
|
||
X"76527851",
|
||
X"b3a53f83",
|
||
X"c0800883",
|
||
X"c3800816",
|
||
X"83c3800c",
|
||
X"78763176",
|
||
X"1b5b5956",
|
||
X"778024ff",
|
||
X"8b38617a",
|
||
X"710c5475",
|
||
X"5475802e",
|
||
X"83388154",
|
||
X"7383c080",
|
||
X"0c8e3d0d",
|
||
X"04fc3d0d",
|
||
X"fe943f76",
|
||
X"51fea83f",
|
||
X"863dfc05",
|
||
X"53785277",
|
||
X"5195e93f",
|
||
X"7975710c",
|
||
X"5483c080",
|
||
X"085483c0",
|
||
X"8008802e",
|
||
X"83388154",
|
||
X"7383c080",
|
||
X"0c863d0d",
|
||
X"04fe3d0d",
|
||
X"7583c2d0",
|
||
X"08535380",
|
||
X"72248938",
|
||
X"71732e84",
|
||
X"38fdcf3f",
|
||
X"7451fde3",
|
||
X"3f725197",
|
||
X"ae3f83c0",
|
||
X"80085283",
|
||
X"c0800880",
|
||
X"2e833881",
|
||
X"547383e0",
|
||
X"800c8e3d",
|
||
X"0d04fc3d",
|
||
X"0dfe9b3f",
|
||
X"7651feaf",
|
||
X"3f863dfc",
|
||
X"05537852",
|
||
X"775195e9",
|
||
X"3f797571",
|
||
X"0c5483e0",
|
||
X"80085483",
|
||
X"e0800880",
|
||
X"2e833881",
|
||
X"547383e0",
|
||
X"800c863d",
|
||
X"0d04fe3d",
|
||
X"0d7583e2",
|
||
X"c0085353",
|
||
X"80722489",
|
||
X"3871732e",
|
||
X"8438fdd6",
|
||
X"3f7451fd",
|
||
X"ea3f7251",
|
||
X"97ae3f83",
|
||
X"e0800852",
|
||
X"83e08008",
|
||
X"802e8338",
|
||
X"81527183",
|
||
X"e0800c84",
|
||
X"527183c0",
|
||
X"800c843d",
|
||
X"0d04803d",
|
||
X"0d7280c0",
|
||
X"110883c0",
|
||
X"800c5182",
|
||
X"3d0d0480",
|
||
X"3d0d7280",
|
||
X"c0110883",
|
||
X"e0800c51",
|
||
X"823d0d04",
|
||
X"3d0d72bc",
|
||
X"110883c0",
|
||
X"800c5182",
|
||
X"3d0d0480",
|
||
X"c40b83c0",
|
||
X"800c04fd",
|
||
X"3d0d7577",
|
||
X"71547053",
|
||
X"5553a9fb",
|
||
X"3f82c813",
|
||
X"08bc150c",
|
||
X"82c01308",
|
||
X"80c0150c",
|
||
X"fce43f73",
|
||
X"5193ab3f",
|
||
X"7383c0ac",
|
||
X"0c83c080",
|
||
X"085383c0",
|
||
X"8008802e",
|
||
X"83388153",
|
||
X"7283c080",
|
||
X"0c853d0d",
|
||
X"04fd3d0d",
|
||
X"75775553",
|
||
X"fcb83f72",
|
||
X"802ea538",
|
||
X"bc130852",
|
||
X"7351a985",
|
||
X"3f83c080",
|
||
X"088f3877",
|
||
X"527251ff",
|
||
X"9a3f83c0",
|
||
X"8008538a",
|
||
X"3982cc13",
|
||
X"0853d839",
|
||
X"81537283",
|
||
X"c0800c85",
|
||
X"3d0d04fe",
|
||
X"3d0dff0b",
|
||
X"83c2d00c",
|
||
X"7483c0b0",
|
||
X"0c7583c2",
|
||
X"cc0cafd9",
|
||
X"3f83c080",
|
||
X"0881ff06",
|
||
X"52815371",
|
||
X"993883c2",
|
||
X"e8518e94",
|
||
X"3f83c080",
|
||
X"085283c0",
|
||
X"8008802e",
|
||
X"83387252",
|
||
X"71537283",
|
||
X"c0800c84",
|
||
X"3d0d04fa",
|
||
X"3d0d787a",
|
||
X"82c41208",
|
||
X"82c41208",
|
||
X"70722459",
|
||
X"56565757",
|
||
X"73732e09",
|
||
X"81069138",
|
||
X"80c01652",
|
||
X"80c01751",
|
||
X"a6f63f83",
|
||
X"c0800855",
|
||
X"7483c080",
|
||
X"0c883d0d",
|
||
X"04f63d0d",
|
||
X"7c5b807b",
|
||
X"715c5457",
|
||
X"7a772e8c",
|
||
X"38811a82",
|
||
X"cc140854",
|
||
X"5a72f638",
|
||
X"805980d9",
|
||
X"397a5481",
|
||
X"5780707b",
|
||
X"7b315a57",
|
||
X"55ff1853",
|
||
X"74732580",
|
||
X"c13882cc",
|
||
X"14085273",
|
||
X"51ff8c3f",
|
||
X"800b83c0",
|
||
X"800825a1",
|
||
X"3882cc14",
|
||
X"0882cc11",
|
||
X"0882cc16",
|
||
X"0c7482cc",
|
||
X"120c5375",
|
||
X"802e8638",
|
||
X"7282cc17",
|
||
X"0c725480",
|
||
X"577382cc",
|
||
X"15088117",
|
||
X"575556ff",
|
||
X"b8398119",
|
||
X"59800bff",
|
||
X"1b545478",
|
||
X"73258338",
|
||
X"81547681",
|
||
X"32707506",
|
||
X"515372ff",
|
||
X"90388c3d",
|
||
X"0d04f73d",
|
||
X"0d7b7d5a",
|
||
X"5a82d052",
|
||
X"83c2cc08",
|
||
X"5180e6dc",
|
||
X"3f83c080",
|
||
X"0857f9da",
|
||
X"3f795283",
|
||
X"c2d45195",
|
||
X"b73f83c0",
|
||
X"80085480",
|
||
X"5383c080",
|
||
X"08732e09",
|
||
X"81068283",
|
||
X"3883c0b0",
|
||
X"080b0b81",
|
||
X"f1885370",
|
||
X"5256a6b3",
|
||
X"3f0b0b81",
|
||
X"f1885280",
|
||
X"c01651a6",
|
||
X"a63f75bc",
|
||
X"170c7382",
|
||
X"c0170c81",
|
||
X"0b82c417",
|
||
X"0c810b82",
|
||
X"c8170c73",
|
||
X"82cc170c",
|
||
X"ff1782d0",
|
||
X"17555781",
|
||
X"913983c0",
|
||
X"bc337082",
|
||
X"2a708106",
|
||
X"51545572",
|
||
X"81803874",
|
||
X"812a8106",
|
||
X"587780f6",
|
||
X"3874842a",
|
||
X"810682c4",
|
||
X"150c83c0",
|
||
X"bc338106",
|
||
X"82c8150c",
|
||
X"79527351",
|
||
X"a5cd3f73",
|
||
X"51a5e43f",
|
||
X"83c08008",
|
||
X"1453af73",
|
||
X"70810555",
|
||
X"3472bc15",
|
||
X"0c83c0bd",
|
||
X"527251a5",
|
||
X"ae3f83c0",
|
||
X"b40882c0",
|
||
X"150c83c0",
|
||
X"ca5280c0",
|
||
X"1451a59b",
|
||
X"3f78802e",
|
||
X"8d387351",
|
||
X"782d83c0",
|
||
X"8008802e",
|
||
X"99387782",
|
||
X"cc150c75",
|
||
X"802e8638",
|
||
X"7382cc17",
|
||
X"0c7382d0",
|
||
X"15ff1959",
|
||
X"55567680",
|
||
X"2e9b3883",
|
||
X"c0b45283",
|
||
X"c2d45194",
|
||
X"ad3f83c0",
|
||
X"80088a38",
|
||
X"83c0bd33",
|
||
X"5372fed2",
|
||
X"3878802e",
|
||
X"893883c0",
|
||
X"b00851fc",
|
||
X"b83f83c0",
|
||
X"b0085372",
|
||
X"83c0800c",
|
||
X"8b3d0d04",
|
||
X"ff3d0d80",
|
||
X"527351fd",
|
||
X"b53f833d",
|
||
X"0d04f03d",
|
||
X"0d627052",
|
||
X"54f6893f",
|
||
X"83c08008",
|
||
X"7453873d",
|
||
X"70535555",
|
||
X"f6a93ff7",
|
||
X"893f7351",
|
||
X"d33f6353",
|
||
X"745283c0",
|
||
X"800851fa",
|
||
X"b83f923d",
|
||
X"0d047183",
|
||
X"c0800c04",
|
||
X"80c01283",
|
||
X"c0800c04",
|
||
X"803d0d72",
|
||
X"bc110883",
|
||
X"e0800c51",
|
||
X"823d0d04",
|
||
X"80c40b83",
|
||
X"e0800c04",
|
||
X"fd3d0d75",
|
||
X"77715470",
|
||
X"535553a9",
|
||
X"fb3f82c8",
|
||
X"1308bc15",
|
||
X"0c82c013",
|
||
X"0880c015",
|
||
X"0cfceb3f",
|
||
X"735193ab",
|
||
X"3f7383e0",
|
||
X"9c0c83e0",
|
||
X"80085383",
|
||
X"e0800880",
|
||
X"2e833881",
|
||
X"537283e0",
|
||
X"800c853d",
|
||
X"0d04fd3d",
|
||
X"0d757755",
|
||
X"53fcbf3f",
|
||
X"72802ea5",
|
||
X"38bc1308",
|
||
X"527351a9",
|
||
X"853f83e0",
|
||
X"80088f38",
|
||
X"77527251",
|
||
X"ff9a3f83",
|
||
X"e0800853",
|
||
X"8a3982cc",
|
||
X"130853d8",
|
||
X"39815372",
|
||
X"83e0800c",
|
||
X"853d0d04",
|
||
X"fe3d0dff",
|
||
X"0b83e2c0",
|
||
X"0c7483e0",
|
||
X"a00c7583",
|
||
X"e2bc0caf",
|
||
X"d93f83e0",
|
||
X"800881ff",
|
||
X"06528153",
|
||
X"71993883",
|
||
X"e2d8518e",
|
||
X"943f83e0",
|
||
X"80085283",
|
||
X"e0800880",
|
||
X"2e833872",
|
||
X"52715372",
|
||
X"83e0800c",
|
||
X"843d0d04",
|
||
X"fa3d0d78",
|
||
X"7a82c412",
|
||
X"0882c412",
|
||
X"08707224",
|
||
X"59565657",
|
||
X"5773732e",
|
||
X"09810691",
|
||
X"3880c016",
|
||
X"5280c017",
|
||
X"51a6f63f",
|
||
X"83e08008",
|
||
X"557483e0",
|
||
X"800c883d",
|
||
X"0d04f63d",
|
||
X"0d7c5b80",
|
||
X"7b715c54",
|
||
X"577a772e",
|
||
X"8c38811a",
|
||
X"82cc1408",
|
||
X"545a72f6",
|
||
X"38805980",
|
||
X"d9397a54",
|
||
X"81578070",
|
||
X"7b7b315a",
|
||
X"5755ff18",
|
||
X"53747325",
|
||
X"80c13882",
|
||
X"cc140852",
|
||
X"7351ff8c",
|
||
X"3f800b83",
|
||
X"e0800825",
|
||
X"a13882cc",
|
||
X"140882cc",
|
||
X"110882cc",
|
||
X"160c7482",
|
||
X"cc120c53",
|
||
X"75802e86",
|
||
X"387282cc",
|
||
X"170c7254",
|
||
X"80577382",
|
||
X"cc150881",
|
||
X"17575556",
|
||
X"ffb83981",
|
||
X"1959800b",
|
||
X"ff1b5454",
|
||
X"78732583",
|
||
X"38815476",
|
||
X"81327075",
|
||
X"06515372",
|
||
X"ff90388c",
|
||
X"3d0d04f7",
|
||
X"3d0d7b7d",
|
||
X"5a5a82d0",
|
||
X"5283e2bc",
|
||
X"085180e2",
|
||
X"f03f83e0",
|
||
X"800857f9",
|
||
X"e13f7952",
|
||
X"83e2c451",
|
||
X"95b73f83",
|
||
X"e0800854",
|
||
X"805383e0",
|
||
X"8008732e",
|
||
X"09810682",
|
||
X"833883e0",
|
||
X"a0080b0b",
|
||
X"80f8c053",
|
||
X"705256a6",
|
||
X"b33f0b0b",
|
||
X"80f8c052",
|
||
X"80c01651",
|
||
X"a6a63f75",
|
||
X"bc170c73",
|
||
X"82c0170c",
|
||
X"810b82c4",
|
||
X"170c810b",
|
||
X"82c8170c",
|
||
X"7382cc17",
|
||
X"0cff1782",
|
||
X"d0175557",
|
||
X"81913983",
|
||
X"e0ac3370",
|
||
X"822a7081",
|
||
X"06515455",
|
||
X"72818038",
|
||
X"74812a81",
|
||
X"06587780",
|
||
X"f6387484",
|
||
X"2a810682",
|
||
X"c4150c83",
|
||
X"e0ac3381",
|
||
X"0682c815",
|
||
X"0c795273",
|
||
X"51a5cd3f",
|
||
X"7351a5e4",
|
||
X"3f83e080",
|
||
X"081453af",
|
||
X"73708105",
|
||
X"553472bc",
|
||
X"150c83e0",
|
||
X"ad527251",
|
||
X"a5ae3f83",
|
||
X"e0a40882",
|
||
X"c0150c83",
|
||
X"e0ba5280",
|
||
X"c01451a5",
|
||
X"9b3f7880",
|
||
X"2e8d3873",
|
||
X"51782d83",
|
||
X"e0800880",
|
||
X"2e993877",
|
||
X"82cc150c",
|
||
X"75802e86",
|
||
X"387382cc",
|
||
X"170c7382",
|
||
X"d015ff19",
|
||
X"59555676",
|
||
X"802e9b38",
|
||
X"83e0a452",
|
||
X"83e2c451",
|
||
X"94ad3f83",
|
||
X"e080088a",
|
||
X"3883e0ad",
|
||
X"335372fe",
|
||
X"d2387880",
|
||
X"2e893883",
|
||
X"e0a00851",
|
||
X"fcb83f83",
|
||
X"e0a00853",
|
||
X"7283e080",
|
||
X"0c8b3d0d",
|
||
X"04ff3d0d",
|
||
X"80527351",
|
||
X"fdb53f83",
|
||
X"3d0d04f0",
|
||
X"3d0d6270",
|
||
X"5254f690",
|
||
X"3f83e080",
|
||
X"08745387",
|
||
X"3d705355",
|
||
X"55f6b03f",
|
||
X"f7903f73",
|
||
X"51d33f63",
|
||
X"53745283",
|
||
X"e0800851",
|
||
X"fab83f92",
|
||
X"3d0d0471",
|
||
X"83e0800c",
|
||
X"0480c012",
|
||
X"83e0800c",
|
||
X"82c01108",
|
||
X"83c0800c",
|
||
X"51823d0d",
|
||
X"04803d0d",
|
||
X"7282c011",
|
||
X"0883e080",
|
||
X"7282cc11",
|
||
X"0883c080",
|
||
X"0c51823d",
|
||
X"0d04803d",
|
||
X"0d7282cc",
|
||
X"110883e0",
|
||
X"0d7282c4",
|
||
X"110883c0",
|
||
X"800c5182",
|
||
X"3d0d0480",
|
||
X"3d0d7282",
|
||
X"c4110883",
|
||
X"e0800c51",
|
||
X"823d0d04",
|
||
X"f93d0d79",
|
||
X"83e09008",
|
||
X"57578177",
|
||
X"27819638",
|
||
X"76881708",
|
||
X"27818e38",
|
||
X"75335574",
|
||
X"822e8938",
|
||
X"74832eb3",
|
||
X"3880fe39",
|
||
X"74547610",
|
||
X"83fe0653",
|
||
X"76882a8c",
|
||
X"17080552",
|
||
X"893dfc05",
|
||
X"51aa873f",
|
||
X"83e08008",
|
||
X"80df3802",
|
||
X"9d053389",
|
||
X"3d337188",
|
||
X"2b075656",
|
Also available in: Unified diff
Latest version with working usb and sdram. zpu rom is in mif for fast updates for now.