repo2/mist_5200/zpu_rom.vhdl @ 373
177 | markw | ||
--
|
|||
--ROMsUsingBlockRAMResources.
|
|||
--VHDLcodeforaROMwithregisteredoutput(template2)
|
|||
--
|
|||
library ieee;
|
|||
use ieee.std_logic_1164.all;
|
|||
use ieee.std_logic_unsigned.all;
|
|||
entity zpu_rom is
|
|||
port(
|
|||
clock:in std_logic;
|
|||
address:in std_logic_vector(11 downto 0);
|
|||
q:out std_logic_vector(31 downto 0)
|
|||
);
|
|||
end zpu_rom;
|
|||
architecture syn of zpu_rom is
|
|||
type rom_type is array(0 to 4095) of std_logic_vector(31 downto 0);
|
|||
signal ROM:rom_type:=
|
|||
(
|
|||
X"0b0b0b89",
|
|||
X"ad040b0b",
|
|||
X"0b0b0b0b",
|
|||
X"0b0b0b0b",
|
|||
X"0b0b0b0b",
|
|||
X"0b0b0b0b",
|
|||
X"0b0b0b0b",
|
|||
X"0b0b0b0b",
|
|||
X"0b0b0b0b",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"71fd0608",
|
|||
X"72830609",
|
|||
X"81058205",
|
|||
X"832b2a83",
|
|||
X"ffff0652",
|
|||
X"04000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"71fd0608",
|
|||
X"83ffff73",
|
|||
X"83060981",
|
|||
X"05820583",
|
|||
X"2b2b0906",
|
|||
X"7383ffff",
|
|||
X"0b0b0b0b",
|
|||
X"83a70400",
|
|||
X"72098105",
|
|||
X"72057373",
|
|||
X"09060906",
|
|||
X"73097306",
|
|||
X"070a8106",
|
|||
X"53510400",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"72722473",
|
|||
X"732e0753",
|
|||
X"51040000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"71737109",
|
|||
X"71068106",
|
|||
X"30720a10",
|
|||
X"0a720a10",
|
|||
X"0a31050a",
|
|||
X"81065151",
|
|||
X"53510400",
|
|||
X"00000000",
|
|||
X"72722673",
|
|||
X"732e0753",
|
|||
X"51040000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"0b0b0b88",
|
|||
X"bc040000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"720a722b",
|
|||
X"0a535104",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"72729f06",
|
|||
X"0981050b",
|
|||
X"0b0b889f",
|
|||
X"05040000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"72722aff",
|
|||
X"739f062a",
|
|||
X"0974090a",
|
|||
X"8106ff05",
|
|||
X"06075351",
|
|||
X"04000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"71715351",
|
|||
X"020d0406",
|
|||
X"73830609",
|
|||
X"81058205",
|
|||
X"832b0b2b",
|
|||
X"0772fc06",
|
|||
X"0c515104",
|
|||
X"00000000",
|
|||
X"72098105",
|
|||
X"72050970",
|
|||
X"81050906",
|
|||
X"0a810653",
|
|||
X"51040000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"72098105",
|
|||
X"72050970",
|
|||
X"81050906",
|
|||
X"0a098106",
|
|||
X"53510400",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"71098105",
|
|||
X"52040000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"72720981",
|
|||
X"05055351",
|
|||
X"04000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"72097206",
|
|||
X"73730906",
|
|||
X"07535104",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"71fc0608",
|
|||
X"72830609",
|
|||
X"81058305",
|
|||
X"1010102a",
|
|||
X"81ff0652",
|
|||
X"04000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"71fc0608",
|
|||
348 | markw | X"0b0b80ea",
|
|
351 | markw | X"94738306",
|
|
177 | markw | X"10100508",
|
|
X"060b0b0b",
|
|||
X"88a20400",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"0b0b0b88",
|
|||
X"ff040000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"0b0b0b88",
|
|||
X"d8040000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"72097081",
|
|||
X"0509060a",
|
|||
X"8106ff05",
|
|||
X"70547106",
|
|||
X"73097274",
|
|||
X"05ff0506",
|
|||
X"07515151",
|
|||
X"04000000",
|
|||
X"72097081",
|
|||
X"0509060a",
|
|||
X"098106ff",
|
|||
X"05705471",
|
|||
X"06730972",
|
|||
X"7405ff05",
|
|||
X"06075151",
|
|||
X"51040000",
|
|||
X"05ff0504",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
310 | markw | X"810b80ed",
|
|
351 | markw | X"d40c5104",
|
|
177 | markw | X"00000000",
|
|
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00007181",
|
|||
X"05520400",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000284",
|
|||
X"05721010",
|
|||
X"05520400",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00007171",
|
|||
X"05ff0571",
|
|||
X"5351020d",
|
|||
X"04000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"10101010",
|
|||
X"10101010",
|
|||
X"10101010",
|
|||
X"10101010",
|
|||
X"10101010",
|
|||
X"10101010",
|
|||
X"10101010",
|
|||
X"10101053",
|
|||
X"51047381",
|
|||
X"ff067383",
|
|||
X"06098105",
|
|||
X"83051010",
|
|||
X"102b0772",
|
|||
X"fc060c51",
|
|||
X"51043c04",
|
|||
X"72728072",
|
|||
X"8106ff05",
|
|||
X"09720605",
|
|||
X"71105272",
|
|||
X"0a100a53",
|
|||
X"72ed3851",
|
|||
X"51535104",
|
|||
X"83e08008",
|
|||
X"83e08408",
|
|||
X"83e08808",
|
|||
252 | markw | X"757580e4",
|
|
351 | markw | X"c32d5050",
|
|
177 | markw | X"83e08008",
|
|
X"5683e088",
|
|||
X"0c83e084",
|
|||
X"0c83e080",
|
|||
X"0c510483",
|
|||
X"e0800883",
|
|||
X"e0840883",
|
|||
X"e0880875",
|
|||
351 | markw | X"7580e2d7",
|
|
177 | markw | X"2d505083",
|
|
X"e0800856",
|
|||
X"83e0880c",
|
|||
X"83e0840c",
|
|||
X"83e0800c",
|
|||
X"51040000",
|
|||
X"800489aa",
|
|||
X"0489aa0b",
|
|||
348 | markw | X"80ddc804",
|
|
310 | markw | X"fd3d0d75",
|
|
X"705254ae",
|
|||
X"a23f83e0",
|
|||
X"80081453",
|
|||
X"72742e92",
|
|||
X"38ff1370",
|
|||
X"33535371",
|
|||
X"af2e0981",
|
|||
X"06ee3881",
|
|||
X"13537283",
|
|||
X"e0800c85",
|
|||
X"3d0d04fd",
|
|||
X"3d0d7577",
|
|||
X"70535454",
|
|||
X"c73f83e0",
|
|||
X"8008732e",
|
|||
X"a13883e0",
|
|||
X"80087331",
|
|||
X"52ff1252",
|
|||
X"71ff2e8f",
|
|||
X"38727081",
|
|||
X"05543374",
|
|||
X"70810556",
|
|||
X"34eb39ff",
|
|||
X"14548074",
|
|||
X"34853d0d",
|
|||
X"04803d0d",
|
|||
X"7251ff90",
|
|||
X"3f823d0d",
|
|||
X"047183e0",
|
|||
X"800c0480",
|
|||
X"3d0d7251",
|
|||
X"80713481",
|
|||
X"0bbc120c",
|
|||
X"800b80c0",
|
|||
X"120c823d",
|
|||
X"0d04800b",
|
|||
X"83e2c008",
|
|||
X"248a38b4",
|
|||
X"f33fff0b",
|
|||
X"83e2c00c",
|
|||
X"800b83e0",
|
|||
X"800c04ff",
|
|||
X"3d0d7352",
|
|||
X"83e09c08",
|
|||
X"722e8d38",
|
|||
X"d93f7151",
|
|||
X"96993f71",
|
|||
X"83e09c0c",
|
|||
X"833d0d04",
|
|||
207 | markw | X"f43d0d7e",
|
|
310 | markw | X"60625c5a",
|
|
X"558154bc",
|
|||
X"15088191",
|
|||
X"387451cf",
|
|||
X"3f795880",
|
|||
X"7a2580f7",
|
|||
X"3883e2f0",
|
|||
X"0870892a",
|
|||
X"5783ff06",
|
|||
X"78848072",
|
|||
X"31565657",
|
|||
X"73782583",
|
|||
X"38735575",
|
|||
X"83e2c008",
|
|||
X"2e8438ff",
|
|||
X"893f83e2",
|
|||
X"c0088025",
|
|||
X"a6387589",
|
|||
X"2b5198dc",
|
|||
X"3f83e2f0",
|
|||
X"088f3dfc",
|
|||
X"11555c54",
|
|||
X"8152f81b",
|
|||
X"5196c63f",
|
|||
X"761483e2",
|
|||
X"f00c7583",
|
|||
X"e2c00c74",
|
|||
X"53765278",
|
|||
X"51b3a43f",
|
|||
X"83e08008",
|
|||
X"83e2f008",
|
|||
X"1683e2f0",
|
|||
X"0c787631",
|
|||
X"761b5b59",
|
|||
X"56778024",
|
|||
X"ff8b3861",
|
|||
X"7a710c54",
|
|||
X"75547580",
|
|||
X"2e833881",
|
|||
X"547383e0",
|
|||
207 | markw | X"800c8e3d",
|
|
193 | markw | X"0d04fc3d",
|
|
310 | markw | X"0dfe9b3f",
|
|
X"7651feaf",
|
|||
X"3f863dfc",
|
|||
X"05537852",
|
|||
X"775195e9",
|
|||
X"3f797571",
|
|||
X"0c5483e0",
|
|||
X"80085483",
|
|||
X"e0800880",
|
|||
X"2e833881",
|
|||
X"547383e0",
|
|||
X"800c863d",
|
|||
X"0d04fe3d",
|
|||
X"0d7583e2",
|
|||
X"c0085353",
|
|||
X"80722489",
|
|||
X"3871732e",
|
|||
X"8438fdd6",
|
|||
X"3f7451fd",
|
|||
X"ea3f7251",
|
|||
X"97ae3f83",
|
|||
X"e0800852",
|
|||
193 | markw | X"83e08008",
|
|
310 | markw | X"802e8338",
|
|
X"81527183",
|
|||
X"e0800c84",
|
|||
X"3d0d0480",
|
|||
X"3d0d7280",
|
|||
X"c0110883",
|
|||
X"e0800c51",
|
|||
X"823d0d04",
|
|||
X"803d0d72",
|
|||
X"bc110883",
|
|||
X"e0800c51",
|
|||
X"823d0d04",
|
|||
X"80c40b83",
|
|||
X"e0800c04",
|
|||
X"fd3d0d75",
|
|||
X"77715470",
|
|||
X"535553a9",
|
|||
X"fa3f82c8",
|
|||
X"1308bc15",
|
|||
X"0c82c013",
|
|||
X"0880c015",
|
|||
X"0cfceb3f",
|
|||
X"735193ab",
|
|||
X"3f7383e0",
|
|||
X"9c0c83e0",
|
|||
X"80085383",
|
|||
X"e0800880",
|
|||
X"2e833881",
|
|||
X"537283e0",
|
|||
X"800c853d",
|
|||
X"0d04fd3d",
|
|||
X"0d757755",
|
|||
X"53fcbf3f",
|
|||
X"72802ea5",
|
|||
X"38bc1308",
|
|||
X"527351a9",
|
|||
X"843f83e0",
|
|||
X"80088f38",
|
|||
X"77527251",
|
|||
X"ff9a3f83",
|
|||
X"e0800853",
|
|||
X"8a3982cc",
|
|||
X"130853d8",
|
|||
X"39815372",
|
|||
X"83e0800c",
|
|||
X"853d0d04",
|
|||
X"fe3d0dff",
|
|||
X"0b83e2c0",
|
|||
X"0c7483e0",
|
|||
X"a00c7583",
|
|||
X"e2bc0caf",
|
|||
X"d83f83e0",
|
|||
X"800881ff",
|
|||
X"06528153",
|
|||
X"71993883",
|
|||
X"e2d8518e",
|
|||
X"943f83e0",
|
|||
X"80085283",
|
|||
X"e0800880",
|
|||
X"2e833872",
|
|||
X"52715372",
|
|||
X"83e0800c",
|
|||
X"843d0d04",
|
|||
X"fa3d0d78",
|
|||
X"7a82c412",
|
|||
X"0882c412",
|
|||
X"08707224",
|
|||
X"59565657",
|
|||
X"5773732e",
|
|||
X"09810691",
|
|||
X"3880c016",
|
|||
X"5280c017",
|
|||
X"51a6f53f",
|
|||
177 | markw | X"83e08008",
|
|
310 | markw | X"557483e0",
|
|
X"800c883d",
|
|||
X"0d04f63d",
|
|||
X"0d7c5b80",
|
|||
X"7b715c54",
|
|||
X"577a772e",
|
|||
X"8c38811a",
|
|||
X"82cc1408",
|
|||
X"545a72f6",
|
|||
X"38805980",
|
|||
X"d9397a54",
|
|||
X"81578070",
|
|||
X"7b7b315a",
|
|||
X"5755ff18",
|
|||
X"53747325",
|
|||
X"80c13882",
|
|||
X"cc140852",
|
|||
X"7351ff8c",
|
|||
X"3f800b83",
|
|||
X"e0800825",
|
|||
X"a13882cc",
|
|||
X"140882cc",
|
|||
X"110882cc",
|
|||
X"160c7482",
|
|||
X"cc120c53",
|
|||
X"75802e86",
|
|||
X"387282cc",
|
|||
X"170c7254",
|
|||
X"80577382",
|
|||
X"cc150881",
|
|||
X"17575556",
|
|||
X"ffb83981",
|
|||
X"1959800b",
|
|||
X"ff1b5454",
|
|||
X"78732583",
|
|||
X"38815476",
|
|||
X"81327075",
|
|||
X"06515372",
|
|||
X"ff90388c",
|
|||
X"3d0d04f7",
|
|||
X"3d0d7b7d",
|
|||
X"5a5a82d0",
|
|||
X"5283e2bc",
|
|||
348 | markw | X"085180d1",
|
|
351 | markw | X"943f83e0",
|
|
310 | markw | X"800857f9",
|
|
X"e13f7952",
|
|||
X"83e2c451",
|
|||
X"95b53f83",
|
|||
X"e0800854",
|
|||
X"805383e0",
|
|||
X"8008732e",
|
|||
X"09810682",
|
|||
X"833883e0",
|
|||
X"a0080b0b",
|
|||
351 | markw | X"80ebdc53",
|
|
310 | markw | X"705256a6",
|
|
X"b23f0b0b",
|
|||
351 | markw | X"80ebdc52",
|
|
310 | markw | X"80c01651",
|
|
X"a6a53f75",
|
|||
X"bc170c73",
|
|||
X"82c0170c",
|
|||
X"810b82c4",
|
|||
X"170c810b",
|
|||
X"82c8170c",
|
|||
X"7382cc17",
|
|||
X"0cff1782",
|
|||
X"d0175557",
|
|||
X"81913983",
|
|||
X"e0ac3370",
|
|||
X"822a7081",
|
|||
X"06515455",
|
|||
X"72818038",
|
|||
X"74812a81",
|
|||
X"06587780",
|
|||
X"f6387484",
|
|||
X"2a810682",
|
|||
X"c4150c83",
|
|||
X"e0ac3381",
|
|||
X"0682c815",
|
|||
X"0c795273",
|
|||
X"51a5cc3f",
|
|||
X"7351a5e3",
|
|||
177 | markw | X"3f83e080",
|
|
310 | markw | X"081453af",
|
|
X"73708105",
|
|||
X"553472bc",
|
|||
X"150c83e0",
|
|||
X"ad527251",
|
|||
X"a5ad3f83",
|
|||
X"e0a40882",
|
|||
X"c0150c83",
|
|||
X"e0ba5280",
|
|||
X"c01451a5",
|
|||
X"9a3f7880",
|
|||
X"2e8d3873",
|
|||
X"51782d83",
|
|||
X"e0800880",
|
|||
X"2e993877",
|
|||
X"82cc150c",
|
|||
X"75802e86",
|
|||
X"387382cc",
|
|||
X"170c7382",
|
|||
X"d015ff19",
|
|||
X"59555676",
|
|||
X"802e9b38",
|
|||
X"83e0a452",
|
|||
X"83e2c451",
|
|||
X"94ab3f83",
|
|||
X"e080088a",
|
|||
X"3883e0ad",
|
|||
X"335372fe",
|
|||
X"d2387880",
|
|||
X"2e893883",
|
|||
X"e0a00851",
|
|||
X"fcb83f83",
|
|||
X"e0a00853",
|
|||
X"7283e080",
|
|||
X"0c8b3d0d",
|
|||
X"04ff3d0d",
|
|||
X"80527351",
|
|||
X"fdb53f83",
|
|||
X"3d0d04f0",
|
|||
X"3d0d6270",
|
|||
X"5254f690",
|
|||
X"3f83e080",
|
|||
X"08745387",
|
|||
X"3d705355",
|
|||
X"55f6b03f",
|
|||
X"f7903f73",
|
|||
X"51d33f63",
|
|||
X"53745283",
|
|||
X"e0800851",
|
|||
X"fab83f92",
|
|||
X"3d0d0471",
|
|||
X"83e0800c",
|
|||
X"0480c012",
|
|||
X"83e0800c",
|
|||
X"04803d0d",
|
|||
X"7282c011",
|
|||
X"0883e080",
|
|||
X"0c51823d",
|
|||
X"0d04803d",
|
|||
X"0d7282cc",
|
|||
X"110883e0",
|
|||
X"800c5182",
|
|||
X"3d0d0480",
|
|||
X"3d0d7282",
|
|||
X"c4110883",
|
|||
X"e0800c51",
|
|||
X"823d0d04",
|
|||
X"f93d0d79",
|
|||
X"83e09008",
|
|||
X"57578177",
|
|||
X"27819638",
|
|||
X"76881708",
|
|||
X"27818e38",
|
|||
X"75335574",
|
|||
X"822e8938",
|
|||
X"74832eb3",
|
|||
X"3880fe39",
|
|||
X"74547610",
|
|||
X"83fe0653",
|
|||
X"76882a8c",
|
|||
X"17080552",
|
|||
X"893dfc05",
|
|||
X"51aa863f",
|
|||
X"83e08008",
|
|||
X"80df3802",
|
|||
X"9d053389",
|
|||
X"3d337188",
|
|||
X"2b075656",
|
|||
X"80d13984",
|
|||
X"5476822b",
|
|||
X"83fc0653",
|
|||
X"76872a8c",
|
|||
X"17080552",
|
|||
X"893dfc05",
|
|||
X"51a9d63f",
|
|||
X"83e08008",
|
|||
X"b038029f",
|
|||
X"05330284",
|
|||
X"059e0533",
|
|||
X"71982b71",
|
|||
X"902b0702",
|
|||
X"8c059d05",
|
|||
X"3370882b",
|
|||
X"72078d3d",
|
|||
X"337180ff",
|
|||
X"fffe8006",
|
|||
X"07515253",
|
|||
X"57585683",
|
|||
X"39815574",
|
|||
X"83e0800c",
|
|||
X"893d0d04",
|
|||
X"fb3d0d83",
|
|||
X"e09008fe",
|
|||
X"19881208",
|
|||
X"fe055556",
|
|||
X"54805674",
|
|||
X"73278d38",
|
|||
X"82143375",
|
|||
X"71299416",
|
|||
X"08055753",
|
|||
X"7583e080",
|
|||
X"0c873d0d",
|
|||
X"04fc3d0d",
|
|||
X"7652800b",
|
|||
X"83e09008",
|
|||
X"70335152",
|
|||
X"5370832e",
|
|||
X"09810691",
|
|||
X"38951233",
|
|||
X"94133371",
|
|||
X"982b7190",
|
|||
X"2b075555",
|
|||
X"519b1233",
|
|||
X"9a133371",
|
|||
X"882b0774",
|
|||
X"0783e080",
|
|||
X"0c55863d",
|
|||
X"0d04fc3d",
|
|||
X"0d7683e0",
|
|||
X"90085555",
|
|||
X"80752388",
|
|||
X"15085372",
|
|||
X"812e8838",
|
|||
X"88140873",
|
|||
X"26853881",
|
|||
X"52b23972",
|
|||
X"90387333",
|
|||
X"5271832e",
|
|||
X"09810685",
|
|||
X"38901408",
|
|||
X"53728c16",
|
|||
X"0c72802e",
|
|||
X"8d387251",
|
|||
X"fed63f83",
|
|||
193 | markw | X"e0800852",
|
|
310 | markw | X"85399014",
|
|
X"08527190",
|
|||
X"160c8052",
|
|||
X"7183e080",
|
|||
X"0c863d0d",
|
|||
X"04fa3d0d",
|
|||
X"7883e090",
|
|||
X"08712281",
|
|||
X"057083ff",
|
|||
X"ff065754",
|
|||
X"57557380",
|
|||
X"2e883890",
|
|||
X"15085372",
|
|||
X"86388352",
|
|||
X"80e73973",
|
|||
X"8f065271",
|
|||
X"80da3881",
|
|||
X"1390160c",
|
|||
X"8c150853",
|
|||
X"72903883",
|
|||
X"0b841722",
|
|||
X"57527376",
|
|||
X"2780c638",
|
|||
X"bf398216",
|
|||
X"33ff0574",
|
|||
X"842a0652",
|
|||
X"71b23872",
|
|||
X"51fcb13f",
|
|||
X"81527183",
|
|||
X"e0800827",
|
|||
X"a8388352",
|
|||
177 | markw | X"83e08008",
|
|
310 | markw | X"88170827",
|
|
X"9c3883e0",
|
|||
X"80088c16",
|
|||
X"0c83e080",
|
|||
X"0851fdbc",
|
|||
198 | markw | X"3f83e080",
|
|
310 | markw | X"0890160c",
|
|
X"73752380",
|
|||
X"527183e0",
|
|||
X"800c883d",
|
|||
X"0d04f23d",
|
|||
X"0d606264",
|
|||
X"585e5b75",
|
|||
X"335574a0",
|
|||
X"2e098106",
|
|||
X"88388116",
|
|||
X"704456ef",
|
|||
X"39627033",
|
|||
X"565674af",
|
|||
X"2e098106",
|
|||
X"84388116",
|
|||
X"43800b88",
|
|||
X"1c0c6270",
|
|||
X"33515574",
|
|||
X"9f269138",
|
|||
X"7a51fdd2",
|
|||
X"3f83e080",
|
|||
X"0856807d",
|
|||
X"34838139",
|
|||
X"933d841c",
|
|||
X"08705859",
|
|||
X"5f8a55a0",
|
|||
X"76708105",
|
|||
X"5834ff15",
|
|||
X"5574ff2e",
|
|||
X"098106ef",
|
|||
X"3880705a",
|
|||
X"5c887f08",
|
|||
X"5f5a7b81",
|
|||
X"1d7081ff",
|
|||
X"06601370",
|
|||
X"3370af32",
|
|||
X"7030a073",
|
|||
X"27718025",
|
|||
X"07515152",
|
|||
X"5b535e57",
|
|||
X"557480e7",
|
|||
X"3876ae2e",
|
|||
X"09810683",
|
|||
X"38815578",
|
|||
X"7a277507",
|
|||
X"5574802e",
|
|||
X"9f387988",
|
|||
X"32703078",
|
|||
X"ae327030",
|
|||
X"7073079f",
|
|||
X"2a535157",
|
|||
X"515675bb",
|
|||
X"3888598b",
|
|||
X"5affab39",
|
|||
X"76982b55",
|
|||
X"74802587",
|
|||
351 | markw | X"3880e9a4",
|
|
310 | markw | X"173357ff",
|
|
X"9f175574",
|
|||
X"99268938",
|
|||
X"e0177081",
|
|||
X"ff065855",
|
|||
X"78811a70",
|
|||
X"81ff0672",
|
|||
X"1b535b57",
|
|||
X"55767534",
|
|||
X"fef8397b",
|
|||
X"1e7f0c80",
|
|||
X"5576a026",
|
|||
X"83388155",
|
|||
X"748b1934",
|
|||
X"7a51fc82",
|
|||
X"3f83e080",
|
|||
X"0880f538",
|
|||
X"a0547a22",
|
|||
X"70852b83",
|
|||
X"e0065455",
|
|||
X"901b0852",
|
|||
X"7c51a491",
|
|||
X"3f83e080",
|
|||
X"085783e0",
|
|||
X"80088181",
|
|||
X"387c3355",
|
|||
X"74802e80",
|
|||
X"f4388b1d",
|
|||
X"3370832a",
|
|||
X"70810651",
|
|||
X"565674b4",
|
|||
X"388b7d84",
|
|||
X"1d0883e0",
|
|||
X"8008595b",
|
|||
X"5b58ff18",
|
|||
X"5877ff2e",
|
|||
X"9a387970",
|
|||
X"81055b33",
|
|||
X"79708105",
|
|||
X"5b337171",
|
|||
X"31525656",
|
|||
X"75802ee2",
|
|||
X"38863975",
|
|||
X"802e9638",
|
|||
X"7a51fbe5",
|
|||
X"3fff8639",
|
|||
X"83e08008",
|
|||
X"5683e080",
|
|||
X"08b63883",
|
|||
X"39765684",
|
|||
X"1b088b11",
|
|||
X"33515574",
|
|||
X"a7388b1d",
|
|||
X"3370842a",
|
|||
X"70810651",
|
|||
X"56567489",
|
|||
X"38835694",
|
|||
X"39815690",
|
|||
X"397c51fa",
|
|||
X"943f83e0",
|
|||
X"8008881c",
|
|||
X"0cfd8139",
|
|||
X"7583e080",
|
|||
X"0c903d0d",
|
|||
X"04f83d0d",
|
|||
X"7a7c5957",
|
|||
X"825483fe",
|
|||
X"53775276",
|
|||
X"51a2d63f",
|
|||
X"835683e0",
|
|||
X"800880ec",
|
|||
X"38811733",
|
|||
X"77337188",
|
|||
X"2b075656",
|
|||
X"82567482",
|
|||
X"d4d52e09",
|
|||
X"810680d4",
|
|||
X"387554b6",
|
|||
X"53775276",
|
|||
X"51a2aa3f",
|
|||
X"83e08008",
|
|||
X"98388117",
|
|||
X"33773371",
|
|||
X"882b0783",
|
|||
X"e0800852",
|
|||
X"56567481",
|
|||
X"82c62eac",
|
|||
X"38825480",
|
|||
X"d2537752",
|
|||
X"7651a281",
|
|||
X"3f83e080",
|
|||
X"08983881",
|
|||
X"17337733",
|
|||
X"71882b07",
|
|||
X"83e08008",
|
|||
X"52565674",
|
|||
X"8182c62e",
|
|||
X"83388156",
|
|||
X"7583e080",
|
|||
X"0c8a3d0d",
|
|||
X"04eb3d0d",
|
|||
X"675a800b",
|
|||
X"83e0900c",
|
|||
X"a1a33f83",
|
|||
X"e0800881",
|
|||
X"06558256",
|
|||
X"7483ef38",
|
|||
X"7475538f",
|
|||
X"3d705357",
|
|||
X"59feca3f",
|
|||
X"83e08008",
|
|||
X"81ff0657",
|
|||
X"76812e09",
|
|||
X"810680d4",
|
|||
X"38905483",
|
|||
X"be537452",
|
|||
X"7551a195",
|
|||
X"3f83e080",
|
|||
X"0880c938",
|
|||
X"8f3d3355",
|
|||
X"74802e80",
|
|||
X"c93802bf",
|
|||
X"05330284",
|
|||
X"05be0533",
|
|||
X"71982b71",
|
|||
X"902b0702",
|
|||
X"8c05bd05",
|
|||
X"3370882b",
|
|||
X"7207953d",
|
|||
X"33710770",
|
|||
X"587b575e",
|
|||
X"525e5759",
|
|||
X"57fdee3f",
|
|||
X"83e08008",
|
|||
X"81ff0657",
|
|||
X"76832e09",
|
|||
X"81068638",
|
|||
X"815682f2",
|
|||
X"3976802e",
|
|||
X"86388656",
|
|||
X"82e839a4",
|
|||
X"548d5378",
|
|||
X"527551a0",
|
|||
X"ac3f8156",
|
|||
X"83e08008",
|
|||
X"82d43802",
|
|||
X"be053302",
|
|||
X"8405bd05",
|
|||
X"3371882b",
|
|||
X"07595d77",
|
|||
X"ab380280",
|
|||
X"ce053302",
|
|||
X"840580cd",
|
|||
X"05337198",
|
|||
X"2b71902b",
|
|||
X"07973d33",
|
|||
X"70882b72",
|
|||
X"07029405",
|
|||
X"80cb0533",
|
|||
X"71075452",
|
|||
X"5e575956",
|
|||
X"02b70533",
|
|||
X"78712902",
|
|||
X"8805b605",
|
|||
X"33028c05",
|
|||
X"b5053371",
|
|||
X"882b0770",
|
|||
X"1d707f8c",
|
|||
X"050c5f59",
|
|||
X"57595d8e",
|
|||
X"3d33821b",
|
|||
X"3402b905",
|
|||
X"33903d33",
|
|||
X"71882b07",
|
|||
X"5a5c7884",
|
|||
X"1b2302bb",
|
|||
X"05330284",
|
|||
X"05ba0533",
|
|||
X"71882b07",
|
|||
X"565c74ab",
|
|||
X"380280ca",
|
|||
X"05330284",
|
|||
X"0580c905",
|
|||
X"3371982b",
|
|||
X"71902b07",
|
|||
X"963d3370",
|
|||
X"882b7207",
|
|||
X"02940580",
|
|||
X"c7053371",
|
|||
X"07515253",
|
|||
X"575e5c74",
|
|||
X"76317831",
|
|||
X"79842a90",
|
|||
X"3d335471",
|
|||
X"71315356",
|
|||
351 | markw | X"5680c1f9",
|
|
310 | markw | X"3f83e080",
|
|
X"08820570",
|
|||
X"881c0c83",
|
|||
X"e08008e0",
|
|||
X"8a055656",
|
|||
X"7483dffe",
|
|||
X"26833882",
|
|||
X"5783fff6",
|
|||
X"76278538",
|
|||
X"83578939",
|
|||
X"86567680",
|
|||
X"2e80db38",
|
|||
X"767a3476",
|
|||
X"832e0981",
|
|||
X"06b03802",
|
|||
X"80d60533",
|
|||
X"02840580",
|
|||
X"d5053371",
|
|||
X"982b7190",
|
|||
X"2b07993d",
|
|||
X"3370882b",
|
|||
X"72070294",
|
|||
X"0580d305",
|
|||
X"3371077f",
|
|||
X"90050c52",
|
|||
X"5e575856",
|
|||
X"8639771b",
|
|||
X"901b0c84",
|
|||
X"1a228c1b",
|
|||
X"08197184",
|
|||
X"2a05941c",
|
|||
X"0c5d800b",
|
|||
X"811b3479",
|
|||
X"83e0900c",
|
|||
X"80567583",
|
|||
X"e0800c97",
|
|||
X"3d0d04e9",
|
|||
X"3d0d83e0",
|
|||
X"90085685",
|
|||
X"5475802e",
|
|||
X"81823880",
|
|||
X"0b811734",
|
|||
X"993de011",
|
|||
X"466a548a",
|
|||
X"3d705458",
|
|||
X"ec0551f6",
|
|||
X"e53f83e0",
|
|||
X"80085483",
|
|||
X"e0800880",
|
|||
X"df38893d",
|
|||
X"33547380",
|
|||
X"2e913802",
|
|||
X"ab053370",
|
|||
X"842a8106",
|
|||
X"51557480",
|
|||
X"2e863883",
|
|||
X"5480c139",
|
|||
X"7651f489",
|
|||
X"3f83e080",
|
|||
X"08a0170c",
|
|||
X"02bf0533",
|
|||
X"028405be",
|
|||
X"05337198",
|
|||
X"2b71902b",
|
|||
X"07028c05",
|
|||
X"bd053370",
|
|||
X"882b7207",
|
|||
X"953d3371",
|
|||
X"079c1c0c",
|
|||
X"5278981b",
|
|||
X"0c535659",
|
|||
X"57810b81",
|
|||
X"17347454",
|
|||
X"7383e080",
|
|||
X"0c993d0d",
|
|||
X"04f53d0d",
|
|||
X"7d7f6172",
|
|||
X"83e09008",
|
|||
X"5a5d5d59",
|
|||
X"5c807b0c",
|
|||
X"85577580",
|
|||
X"2e81e038",
|
|||
X"81163381",
|
|||
X"06558457",
|
|||
X"74802e81",
|
|||
X"d2389139",
|
|||
X"74811734",
|
|||
X"8639800b",
|
|||
X"81173481",
|
|||
X"5781c039",
|
|||
X"9c160898",
|
|||
X"17083155",
|
|||
X"74782783",
|
|||
X"38745877",
|
|||
X"802e81a9",
|
|||
X"38981608",
|
|||
X"7083ff06",
|
|||
X"56577480",
|
|||
X"cf388216",
|
|||
X"33ff0577",
|
|||
X"892a0670",
|
|||
X"81ff065a",
|
|||
X"5578a038",
|
|||
X"768738a0",
|
|||
X"1608558d",
|
|||
X"39a41608",
|
|||
X"51f0e93f",
|
|||
X"83e08008",
|
|||
X"55817527",
|
|||
X"ffa83874",
|
|||
X"a4170ca4",
|
|||
X"160851f2",
|
|||
X"833f83e0",
|
|||
X"80085583",
|
|||
X"e0800880",
|
|||
X"2eff8938",
|
|||
X"83e08008",
|
|||
X"19a8170c",
|
|||
X"98160883",
|
|||
X"ff068480",
|
|||
X"71315155",
|
|||
X"77752783",
|
|||
X"38775574",
|
|||
X"83ffff06",
|
|||
X"54981608",
|
|||
X"83ff0653",
|
|||
X"a8160852",
|
|||
X"79577b83",
|
|||
X"387b5776",
|
|||
X"519ad23f",
|
|||
X"83e08008",
|
|||
X"fed03898",
|
|||
X"16081598",
|
|||
X"170c741a",
|
|||
X"7876317c",
|
|||
X"08177d0c",
|
|||
X"595afed3",
|
|||
X"39805776",
|
|||
X"83e0800c",
|
|||
X"8d3d0d04",
|
|||
X"fa3d0d78",
|
|||
X"83e09008",
|
|||
X"55568555",
|
|||
X"73802e81",
|
|||
X"e1388114",
|
|||
X"33810653",
|
|||
X"84557280",
|
|||
X"2e81d338",
|
|||
X"9c140853",
|
|||
X"72762783",
|
|||
X"38725698",
|
|||
X"14085780",
|
|||
X"0b98150c",
|
|||
X"75802e81",
|
|||
X"b7388214",
|
|||
X"3370892b",
|
|||
X"56537680",
|
|||
X"2eb53874",
|
|||
X"52ff1651",
|
|||
351 | markw | X"bcfb3f83",
|
|
310 | markw | X"e08008ff",
|
|
X"18765470",
|
|||
346 | markw | X"535853bc",
|
|
351 | markw | X"ec3f83e0",
|
|
310 | markw | X"80087326",
|
|
X"96387430",
|
|||
X"70780670",
|
|||
X"98170c77",
|
|||
X"7131a417",
|
|||
X"08525851",
|
|||
X"538939a0",
|
|||
X"140870a4",
|
|||
X"160c5374",
|
|||
X"7627b938",
|
|||
X"7251eed8",
|
|||
X"3f83e080",
|
|||
X"0853810b",
|
|||
X"83e08008",
|
|||
X"278b3888",
|
|||
X"140883e0",
|
|||
X"80082688",
|
|||
X"38800b81",
|
|||
X"1534b039",
|
|||
X"83e08008",
|
|||
X"a4150c98",
|
|||
X"14081598",
|
|||
X"150c7575",
|
|||
X"3156c439",
|
|||
X"98140816",
|
|||
X"7098160c",
|
|||
X"735256ef",
|
|||
X"c73f83e0",
|
|||
X"80088c38",
|
|||
X"83e08008",
|
|||
X"81153481",
|
|||
X"55943982",
|
|||
X"1433ff05",
|
|||
X"76892a06",
|
|||
X"83e08008",
|
|||
X"05a8150c",
|
|||
X"80557483",
|
|||
X"e0800c88",
|
|||
X"3d0d04ef",
|
|||
X"3d0d6356",
|
|||
X"855583e0",
|
|||
X"9008802e",
|
|||
X"80d23893",
|
|||
X"3df40584",
|
|||
X"170c6453",
|
|||
X"883d7053",
|
|||
X"765257f1",
|
|||
X"d13f83e0",
|
|||
X"80085583",
|
|||
X"e08008b4",
|
|||
X"38883d33",
|
|||
X"5473802e",
|
|||
X"a13802a7",
|
|||
X"05337084",
|
|||
X"2a708106",
|
|||
X"51555583",
|
|||
X"5573802e",
|
|||
X"97387651",
|
|||
X"eef73f83",
|
|||
X"e0800888",
|
|||
X"170c7551",
|
|||
X"efa83f83",
|
|||
X"e0800855",
|
|||
X"7483e080",
|
|||
X"0c933d0d",
|
|||
X"04e43d0d",
|
|||
X"6ea13d08",
|
|||
X"405e8556",
|
|||
X"83e09008",
|
|||
X"802e8485",
|
|||
X"389e3df4",
|
|||
X"05841f0c",
|
|||
X"7e98387d",
|
|||
X"51eef73f",
|
|||
X"83e08008",
|
|||
X"5683ee39",
|
|||
X"814181f6",
|
|||
X"39834181",
|
|||
X"f139933d",
|
|||
X"7f960541",
|
|||
X"59807f82",
|
|||
X"95055e56",
|
|||
X"756081ff",
|
|||
X"05348341",
|
|||
X"901e0876",
|
|||
X"2e81d338",
|
|||
X"a0547d22",
|
|||
X"70852b83",
|
|||
X"e0065458",
|
|||
X"901e0852",
|
|||
X"785196dd",
|
|||
X"3f83e080",
|
|||
X"084183e0",
|
|||
X"8008ffb8",
|
|||
X"3878335c",
|
|||
X"7b802eff",
|
|||
X"b4388b19",
|
|||
X"3370bf06",
|
|||
X"71810652",
|
|||
X"43557480",
|
|||
X"2e80de38",
|
|||
X"7b81bf06",
|
|||
X"55748f24",
|
|||
X"80d3389a",
|
|||
X"19335574",
|
|||
X"80cb38f3",
|
|||
X"1d70585d",
|
|||
X"8156758b",
|
|||
X"2e098106",
|
|||
X"85388e56",
|
|||
X"8b39759a",
|
|||
X"2e098106",
|
|||
X"83389c56",
|
|||
X"75197070",
|
|||
X"81055233",
|
|||
X"7133811a",
|
|||
X"821a5f5b",
|
|||
X"525b5574",
|
|||
X"86387977",
|
|||
X"34853980",
|
|||
X"df773477",
|
|||
X"7b57577a",
|
|||
X"a02e0981",
|
|||
X"06c03881",
|
|||
X"567b81e5",
|
|||
X"32703070",
|
|||
X"9f2a5151",
|
|||
X"557bae2e",
|
|||
X"93387480",
|
|||
X"2e8e3861",
|
|||
X"832a7081",
|
|||
X"06515574",
|
|||
X"802e9738",
|
|||
X"7d51ede1",
|
|||
X"3f83e080",
|
|||
X"084183e0",
|
|||
X"80088738",
|
|||
X"901e08fe",
|
|||
X"af388060",
|
|||
X"3475802e",
|
|||
X"88387c52",
|
|||
X"7f518e83",
|
|||
X"3f60802e",
|
|||
X"8638800b",
|
|||
X"901f0c60",
|
|||
X"5660832e",
|
|||
X"85386081",
|
|||
X"d038891f",
|
|||
X"57901e08",
|
|||
X"802e81a8",
|
|||
X"38805675",
|
|||
X"19703351",
|
|||
X"5574a02e",
|
|||
X"a0387485",
|
|||
X"2e098106",
|
|||
X"843881e5",
|
|||
X"55747770",
|
|||
X"81055934",
|
|||
X"81167081",
|
|||
X"ff065755",
|
|||
X"877627d7",
|
|||
X"38881933",
|
|||
X"5574a02e",
|
|||
X"a938ae77",
|
|||
X"70810559",
|
|||
X"34885675",
|
|||
X"19703351",
|
|||
X"5574a02e",
|
|||
X"95387477",
|
|||
X"70810559",
|
|||
X"34811670",
|
|||
X"81ff0657",
|
|||
X"558a7627",
|
|||
X"e2388b19",
|
|||
X"337f8805",
|
|||
X"349f1933",
|
|||
X"9e1a3371",
|
|||
X"982b7190",
|
|||
X"2b079d1c",
|
|||
X"3370882b",
|
|||
X"72079c1e",
|
|||
X"33710764",
|
|||
X"0c52991d",
|
|||
X"33981e33",
|
|||
X"71882b07",
|
|||
X"53515357",
|
|||
X"5956747f",
|
|||
X"84052397",
|
|||
X"1933961a",
|
|||
X"3371882b",
|
|||
X"07565674",
|
|||
X"7f860523",
|
|||
X"8077347d",
|
|||
X"51ebf23f",
|
|||
X"83e08008",
|
|||
X"83327030",
|
|||
X"7072079f",
|
|||
X"2c83e080",
|
|||
X"08065256",
|
|||
X"56961f33",
|
|||
X"55748a38",
|
|||
X"891f5296",
|
|||
X"1f518c8f",
|
|||
X"3f7583e0",
|
|||
X"800c9e3d",
|
|||
X"0d04f43d",
|
|||
X"0d7e8f3d",
|
|||
X"ec115656",
|
|||
X"589053f0",
|
|||
X"15527751",
|
|||
X"e0d43f83",
|
|||
X"e0800880",
|
|||
X"d6387890",
|
|||
X"2e098106",
|
|||
X"80cd3802",
|
|||
X"ab053380",
|
|||
351 | markw | X"eddc0b80",
|
|
X"eddc3357",
|
|||
310 | markw | X"58568c39",
|
|
X"74762e8a",
|
|||
X"38841770",
|
|||
X"33565774",
|
|||
X"f3387633",
|
|||
X"70575574",
|
|||
X"802eac38",
|
|||
X"82172270",
|
|||
X"8a2b903d",
|
|||
X"ec055670",
|
|||
X"55565696",
|
|||
X"800a5277",
|
|||
X"51e0833f",
|
|||
X"83e08008",
|
|||
X"86387875",
|
|||
X"2e853880",
|
|||
X"56853981",
|
|||
X"17335675",
|
|||
X"83e0800c",
|
|||
X"8e3d0d04",
|
|||
X"fc3d0d76",
|
|||
X"7052558b",
|
|||
X"963f83e0",
|
|||
X"800815ff",
|
|||
X"05547375",
|
|||
X"2e8e3873",
|
|||
X"335372ae",
|
|||
X"2e8638ff",
|
|||
X"1454ef39",
|
|||
X"77528114",
|
|||
X"518aae3f",
|
|||
X"83e08008",
|
|||
X"307083e0",
|
|||
X"80080780",
|
|||
X"2583e080",
|
|||
X"0c53863d",
|
|||
X"0d04fc3d",
|
|||
X"0d767052",
|
|||
X"55e6f03f",
|
|||
X"83e08008",
|
|||
X"54815383",
|
|||
X"e0800880",
|
|||
X"c1387451",
|
|||
X"e6b33f83",
|
|||
X"e0800880",
|
|||
351 | markw | X"ebec5383",
|
|
310 | markw | X"e0800852",
|
|
X"53ff913f",
|
|||
X"83e08008",
|
|||
346 | markw | X"a13880eb",
|
|
351 | markw | X"f0527251",
|
|
310 | markw | X"ff823f83",
|
|
X"e0800892",
|
|||
351 | markw | X"3880ebf4",
|
|
198 | markw | X"527251fe",
|
|
310 | markw | X"f33f83e0",
|
|
198 | markw | X"8008802e",
|
|
X"83388154",
|
|||
X"73537283",
|
|||
X"e0800c86",
|
|||
X"3d0d04fd",
|
|||
X"3d0d7570",
|
|||
310 | markw | X"5254e68f",
|
|
198 | markw | X"3f815383",
|
|
X"e0800898",
|
|||
310 | markw | X"387351e5",
|
|
X"d83f83e3",
|
|||
X"88085283",
|
|||
198 | markw | X"e0800851",
|
|
310 | markw | X"feba3f83",
|
|
198 | markw | X"e0800853",
|
|
X"7283e080",
|
|||
X"0c853d0d",
|
|||
263 | markw | X"04df3d0d",
|
|
X"a43d0870",
|
|||
310 | markw | X"525edbfd",
|
|
198 | markw | X"3f83e080",
|
|
263 | markw | X"0833953d",
|
|
X"56547396",
|
|||
351 | markw | X"3880eee4",
|
|
310 | markw | X"52745189",
|
|
X"8e3f9a39",
|
|||
263 | markw | X"7d527851",
|
|
310 | markw | X"defe3f84",
|
|
X"cd397d51",
|
|||
X"dbe33f83",
|
|||
263 | markw | X"e0800852",
|
|
310 | markw | X"7451db93",
|
|
263 | markw | X"3f804380",
|
|
X"42804180",
|
|||
310 | markw | X"4083e390",
|
|
263 | markw | X"0852943d",
|
|
310 | markw | X"70525de1",
|
|
X"e63f83e0",
|
|||
263 | markw | X"80085980",
|
|
X"0b83e080",
|
|||
X"08555b83",
|
|||
X"e080087b",
|
|||
X"2e943881",
|
|||
X"1b74525b",
|
|||
310 | markw | X"e4e83f83",
|
|
263 | markw | X"e0800854",
|
|
193 | markw | X"83e08008",
|
|
263 | markw | X"ee38805a",
|
|
X"ff5f7909",
|
|||
198 | markw | X"709f2c7b",
|
|
X"065b547a",
|
|||
X"7a248438",
|
|||
X"ff1b5af6",
|
|||
X"1a700970",
|
|||
X"9f2c7206",
|
|||
X"7bff125a",
|
|||
X"5a525555",
|
|||
X"80752595",
|
|||
310 | markw | X"387651e4",
|
|
X"ad3f83e0",
|
|||
177 | markw | X"800876ff",
|
|
198 | markw | X"18585557",
|
|
177 | markw | X"738024ed",
|
|
198 | markw | X"38747f2e",
|
|
348 | markw | X"8638a0c2",
|
|
310 | markw | X"3f745f78",
|
|
X"ff1b7058",
|
|||
X"5d58807a",
|
|||
X"25953877",
|
|||
X"51e4833f",
|
|||
177 | markw | X"83e08008",
|
|
310 | markw | X"76ff1858",
|
|
X"55587380",
|
|||
X"24ed3880",
|
|||
351 | markw | X"0b83e7c0",
|
|
310 | markw | X"0c800b83",
|
|
351 | markw | X"e7e40c80",
|
|
X"ebf8518d",
|
|||
310 | markw | X"8d3f8180",
|
|
351 | markw | X"0b83e7e4",
|
|
X"0c80ec80",
|
|||
310 | markw | X"518cff3f",
|
|
X"a80b83e7",
|
|||
351 | markw | X"c00c7680",
|
|
310 | markw | X"2e80e438",
|
|
351 | markw | X"83e7c008",
|
|
310 | markw | X"77793270",
|
|
X"30707207",
|
|||
X"80257087",
|
|||
351 | markw | X"2b83e7e4",
|
|
310 | markw | X"0c515678",
|
|
X"535656e3",
|
|||
X"ba3f83e0",
|
|||
X"8008802e",
|
|||
348 | markw | X"883880ec",
|
|
351 | markw | X"88518cc6",
|
|
310 | markw | X"3f7651e2",
|
|
X"fc3f83e0",
|
|||
X"80085280",
|
|||
351 | markw | X"ed9c518c",
|
|
310 | markw | X"b53f7651",
|
|
X"e3843f83",
|
|||
X"e0800883",
|
|||
351 | markw | X"e7c00855",
|
|
310 | markw | X"57757425",
|
|
X"8638a816",
|
|||
X"56f73975",
|
|||
351 | markw | X"83e7c00c",
|
|
310 | markw | X"86f07624",
|
|
X"ff983887",
|
|||
X"980b83e7",
|
|||
351 | markw | X"c00c7780",
|
|
310 | markw | X"2eb13877",
|
|
X"51e2ba3f",
|
|||
X"83e08008",
|
|||
X"785255e2",
|
|||
348 | markw | X"da3f80ec",
|
|
351 | markw | X"905483e0",
|
|
310 | markw | X"80088d38",
|
|
X"87398076",
|
|||
X"3481ce39",
|
|||
351 | markw | X"80ec8c54",
|
|
310 | markw | X"74537352",
|
|
351 | markw | X"80ebe051",
|
|
310 | markw | X"8bd43f80",
|
|
351 | markw | X"5480ebe8",
|
|
310 | markw | X"518bcb3f",
|
|
X"81145473",
|
|||
X"a82e0981",
|
|||
X"06ef3886",
|
|||
X"8da0519c",
|
|||
346 | markw | X"b63f8052",
|
|
310 | markw | X"903d7052",
|
|
351 | markw | X"57afb53f",
|
|
310 | markw | X"83527651",
|
|
351 | markw | X"afae3f62",
|
|
310 | markw | X"818f3861",
|
|
X"802e80fb",
|
|||
X"387b5473",
|
|||
X"ff2e9638",
|
|||
X"78802e81",
|
|||
X"89387851",
|
|||
X"e1e03f83",
|
|||
X"e08008ff",
|
|||
X"155559e7",
|
|||
X"3978802e",
|
|||
X"80f43878",
|
|||
X"51e1dc3f",
|
|||
X"83e08008",
|
|||
X"802efc90",
|
|||
X"387851e1",
|
|||
X"a43f83e0",
|
|||
X"80085280",
|
|||
351 | markw | X"ebdc5183",
|
|
310 | markw | X"e33f83e0",
|
|
X"8008a338",
|
|||
X"7c51859b",
|
|||
220 | markw | X"3f83e080",
|
|
310 | markw | X"085574ff",
|
|
X"16565480",
|
|||
X"7425ae38",
|
|||
X"741d7033",
|
|||
X"555673af",
|
|||
X"2efecf38",
|
|||
X"e9397851",
|
|||
X"e0e53f83",
|
|||
X"e0800852",
|
|||
X"7c5184d3",
|
|||
X"3f8f397f",
|
|||
X"88296010",
|
|||
X"057a0561",
|
|||
X"055afc92",
|
|||
X"3962802e",
|
|||
X"fbd33880",
|
|||
348 | markw | X"527651ae",
|
|
351 | markw | X"8f3fa33d",
|
|
310 | markw | X"0d04803d",
|
|
X"0d9088b8",
|
|||
X"337081ff",
|
|||
X"0670842a",
|
|||
X"81327081",
|
|||
X"06515151",
|
|||
X"5170802e",
|
|||
X"8d38a80b",
|
|||
283 | markw | X"9088b834",
|
|
310 | markw | X"b80b9088",
|
|
X"b8347083",
|
|||
X"e0800c82",
|
|||
220 | markw | X"3d0d0480",
|
|
283 | markw | X"3d0d9088",
|
|
X"b8337081",
|
|||
310 | markw | X"ff067085",
|
|
220 | markw | X"2a813270",
|
|
X"81065151",
|
|||
X"51517080",
|
|||
310 | markw | X"2e8d3898",
|
|
283 | markw | X"0b9088b8",
|
|
220 | markw | X"34b80b90",
|
|
310 | markw | X"88b83470",
|
|
220 | markw | X"83e0800c",
|
|
X"823d0d04",
|
|||
310 | markw | X"930b9088",
|
|
X"bc34ff0b",
|
|||
X"9088a834",
|
|||
X"04ff3d0d",
|
|||
X"028f0533",
|
|||
X"52800b90",
|
|||
X"88bc348a",
|
|||
346 | markw | X"519a803f",
|
|
310 | markw | X"df3f80f8",
|
|
X"0b9088a0",
|
|||
X"34800b90",
|
|||
X"888834fa",
|
|||
X"12527190",
|
|||
X"88803480",
|
|||
X"0b908898",
|
|||
X"34719088",
|
|||
X"90349088",
|
|||
X"b8528072",
|
|||
X"34b87234",
|
|||
X"833d0d04",
|
|||
X"803d0d02",
|
|||
X"8b053351",
|
|||
X"709088b4",
|
|||
X"34febf3f",
|
|||
X"83e08008",
|
|||
X"802ef638",
|
|||
X"823d0d04",
|
|||
X"803d0d84",
|
|||
348 | markw | X"39a78f3f",
|
|
310 | markw | X"fed93f83",
|
|
X"e0800880",
|
|||
X"2ef33890",
|
|||
X"88b43370",
|
|||
X"81ff0683",
|
|||
X"e0800c51",
|
|||
X"823d0d04",
|
|||
X"803d0da3",
|
|||
X"0b9088bc",
|
|||
X"34ff0b90",
|
|||
X"88a83490",
|
|||
X"88b851a8",
|
|||
X"7134b871",
|
|||
X"34823d0d",
|
|||
X"04803d0d",
|
|||
X"9088bc33",
|
|||
X"7081c006",
|
|||
X"70307080",
|
|||
X"2583e080",
|
|||
X"0c515151",
|
|||
X"823d0d04",
|
|||
X"803d0d90",
|
|||
X"88b83370",
|
|||
X"81ff0670",
|
|||
X"832a8132",
|
|||
X"70810651",
|
|||
X"51515170",
|
|||
X"802ee838",
|
|||
X"b00b9088",
|
|||
X"b834b80b",
|
|||
X"9088b834",
|
|||
X"823d0d04",
|
|||
X"803d0d90",
|
|||
X"80ac0881",
|
|||
X"0683e080",
|
|||
X"0c823d0d",
|
|||
X"04fd3d0d",
|
|||
X"75775454",
|
|||
X"80732594",
|
|||
X"38737081",
|
|||
X"05553352",
|
|||
351 | markw | X"80ec9451",
|
|
310 | markw | X"87843fff",
|
|
X"1353e939",
|
|||
X"853d0d04",
|
|||
220 | markw | X"fd3d0d75",
|
|
310 | markw | X"77535473",
|
|
X"33517089",
|
|||
X"38713351",
|
|||
X"70802ea1",
|
|||
X"38733372",
|
|||
X"33525372",
|
|||
X"71278538",
|
|||
X"ff519439",
|
|||
X"70732785",
|
|||
X"3881518b",
|
|||
X"39811481",
|
|||
X"135354d3",
|
|||
X"39805170",
|
|||
X"83e0800c",
|
|||
X"853d0d04",
|
|||
X"fd3d0d75",
|
|||
X"77545472",
|
|||
X"337081ff",
|
|||
X"06525270",
|
|||
X"802ea338",
|
|||
X"7181ff06",
|
|||
X"8114ffbf",
|
|||
X"12535452",
|
|||
X"70992689",
|
|||
X"38a01270",
|
|||
X"81ff0653",
|
|||
X"51717470",
|
|||
X"81055634",
|
|||
X"d2398074",
|
|||
X"34853d0d",
|
|||
X"04ffbd3d",
|
|||
X"0d80c63d",
|
|||
X"0852a53d",
|
|||
X"705254ff",
|
|||
X"b33f80c7",
|
|||
X"3d085285",
|
|||
X"3d705253",
|
|||
X"ffa63f72",
|
|||
X"527351fe",
|
|||
X"df3f80c5",
|
|||
X"3d0d04fe",
|
|||
X"3d0d7476",
|
|||
X"53537170",
|
|||
X"81055333",
|
|||
X"51707370",
|
|||
X"81055534",
|
|||
X"70f03884",
|
|||
X"3d0d04fe",
|
|||
X"3d0d7452",
|
|||
X"80723352",
|
|||
X"5370732e",
|
|||
X"8d388112",
|
|||
X"81147133",
|
|||
X"53545270",
|
|||
X"f5387283",
|
|||
X"e0800c84",
|
|||
220 | markw | X"3d0d04f6",
|
|
X"3d0d7c7e",
|
|||
X"60625a5d",
|
|||
X"5b568059",
|
|||
X"81558539",
|
|||
X"747a2955",
|
|||
177 | markw | X"74527551",
|
|
351 | markw | X"a9d33f83",
|
|
220 | markw | X"e080087a",
|
|
310 | markw | X"27ee3874",
|
|
X"802e80dd",
|
|||
X"38745275",
|
|||
351 | markw | X"51a9be3f",
|
|
220 | markw | X"83e08008",
|
|
310 | markw | X"75537652",
|
|
351 | markw | X"54a9e53f",
|
|
310 | markw | X"83e08008",
|
|
X"7a537552",
|
|||
351 | markw | X"56a9a63f",
|
|
310 | markw | X"83e08008",
|
|
220 | markw | X"7930707b",
|
|
X"079f2a70",
|
|||
X"77802407",
|
|||
X"51515455",
|
|||
X"72873883",
|
|||
310 | markw | X"e08008c5",
|
|
220 | markw | X"38768118",
|
|
X"b0165558",
|
|||
X"58897425",
|
|||
X"8b38b714",
|
|||
X"537a8538",
|
|||
X"80d71453",
|
|||
X"72783481",
|
|||
310 | markw | X"1959ff9f",
|
|
220 | markw | X"39807734",
|
|
X"8c3d0d04",
|
|||
X"f73d0d7b",
|
|||
X"7d7f6202",
|
|||
X"9005bb05",
|
|||
X"33575956",
|
|||
X"5a5ab058",
|
|||
X"728338a0",
|
|||
X"58757070",
|
|||
X"81055233",
|
|||
X"71595455",
|
|||
X"90398074",
|
|||
X"258e38ff",
|
|||
X"14777081",
|
|||
X"05593354",
|
|||
X"5472ef38",
|
|||
X"73ff1555",
|
|||
X"53807325",
|
|||
X"89387752",
|
|||
198 | markw | X"7951782d",
|
|
220 | markw | X"ef397533",
|
|
X"75575372",
|
|||
X"802e9038",
|
|||
X"72527951",
|
|||
X"782d7570",
|
|||
X"81055733",
|
|||
X"53ed398b",
|
|||
X"3d0d04ee",
|
|||
X"3d0d6466",
|
|||
X"69697070",
|
|||
X"81055233",
|
|||
X"5b4a5c5e",
|
|||
X"5e76802e",
|
|||
X"82f93876",
|
|||
X"a52e0981",
|
|||
X"0682e038",
|
|||
X"80704167",
|
|||
207 | markw | X"70708105",
|
|
220 | markw | X"5233714a",
|
|
X"59575f76",
|
|||
X"b02e0981",
|
|||
X"068c3875",
|
|||
X"70810557",
|
|||
X"33764857",
|
|||
X"815fd017",
|
|||
X"56758926",
|
|||
X"80da3876",
|
|||
X"675c5980",
|
|||
X"5c933977",
|
|||
X"8a2480c3",
|
|||
X"387b8a29",
|
|||
X"187b7081",
|
|||
X"055d335a",
|
|||
X"5cd01970",
|
|||
X"81ff0658",
|
|||
X"58897727",
|
|||
X"a438ff9f",
|
|||
198 | markw | X"197081ff",
|
|
220 | markw | X"06ffa91b",
|
|
X"5a515685",
|
|||
X"76279238",
|
|||
X"ffbf1970",
|
|||
X"81ff0651",
|
|||
X"56758526",
|
|||
X"8a38c919",
|
|||
X"58778025",
|
|||
X"ffb9387a",
|
|||
X"477b4078",
|
|||
X"81ff0657",
|
|||
X"7680e42e",
|
|||
X"80e53876",
|
|||
X"80e424a7",
|
|||
X"387680d8",
|
|||
X"2e818638",
|
|||
X"7680d824",
|
|||
X"90387680",
|
|||
X"2e81cc38",
|
|||
X"76a52e81",
|
|||
X"b63881b9",
|
|||
X"397680e3",
|
|||
X"2e818c38",
|
|||
X"81af3976",
|
|||
X"80f52e9b",
|
|||
X"387680f5",
|
|||
X"248b3876",
|
|||
X"80f32e81",
|
|||
X"81388199",
|
|||
X"397680f8",
|
|||
X"2e80ca38",
|
|||
X"818f3991",
|
|||
X"3d705557",
|
|||
198 | markw | X"80538a52",
|
|
177 | markw | X"79841b71",
|
|
220 | markw | X"08535b56",
|
|
310 | markw | X"fc813f76",
|
|
220 | markw | X"55ab3979",
|
|
X"841b7108",
|
|||
X"943d705b",
|
|||
X"5b525b56",
|
|||
X"7580258c",
|
|||
X"38753056",
|
|||
X"ad783402",
|
|||
X"80c10557",
|
|||
X"76548053",
|
|||
X"8a527551",
|
|||
310 | markw | X"fbd53f77",
|
|
220 | markw | X"557e54b8",
|
|
X"39913d70",
|
|||
X"557780d8",
|
|||
X"32703070",
|
|||
X"80255651",
|
|||
X"58569052",
|
|||
X"79841b71",
|
|||
X"08535b57",
|
|||
310 | markw | X"fbb13f75",
|
|
220 | markw | X"55db3979",
|
|
X"841b8312",
|
|||
X"33545b56",
|
|||
X"98397984",
|
|||
X"1b710857",
|
|||
X"5b568054",
|
|||
X"7f537c52",
|
|||
X"7d51fc9c",
|
|||
X"3f873976",
|
|||
X"527d517c",
|
|||
X"2d667033",
|
|||
X"58810547",
|
|||
X"fd833994",
|
|||
X"3d0d0472",
|
|||
310 | markw | X"83e0940c",
|
|
X"7183e098",
|
|||
220 | markw | X"0c04fb3d",
|
|
X"0d883d70",
|
|||
X"70840552",
|
|||
X"08575475",
|
|||
310 | markw | X"5383e094",
|
|
220 | markw | X"085283e0",
|
|
310 | markw | X"980851fc",
|
|
220 | markw | X"c63f873d",
|
|
X"0d04ff3d",
|
|||
X"0d737008",
|
|||
X"53510293",
|
|||
X"05337234",
|
|||
X"70088105",
|
|||
X"710c833d",
|
|||
198 | markw | X"0d04fc3d",
|
|
220 | markw | X"0d873d88",
|
|
X"11557854",
|
|||
310 | markw | X"bdb65351",
|
|
220 | markw | X"fc993f80",
|
|
X"52873d51",
|
|||
X"d13f863d",
|
|||
252 | markw | X"0d04fc3d",
|
|
X"0d765574",
|
|||
351 | markw | X"83e39c08",
|
|
252 | markw | X"2eaf3880",
|
|
X"53745187",
|
|||
X"c13f83e0",
|
|||
X"800881ff",
|
|||
X"06ff1470",
|
|||
X"81ff0672",
|
|||
X"30709f2a",
|
|||
X"51525553",
|
|||
X"5472802e",
|
|||
X"843871dd",
|
|||
X"3873fe38",
|
|||
351 | markw | X"7483e39c",
|
|
252 | markw | X"0c863d0d",
|
|
X"04ff3d0d",
|
|||
X"ff0b83e3",
|
|||
351 | markw | X"9c0c84a5",
|
|
252 | markw | X"3f815187",
|
|
X"853f83e0",
|
|||
X"800881ff",
|
|||
X"065271ee",
|
|||
X"3881d33f",
|
|||
X"7183e080",
|
|||
X"0c833d0d",
|
|||
220 | markw | X"04fc3d0d",
|
|
252 | markw | X"76028405",
|
|
X"a2052202",
|
|||
X"8805a605",
|
|||
X"227a5455",
|
|||
X"5555ff82",
|
|||
X"3f72802e",
|
|||
X"a03883e3",
|
|||
351 | markw | X"b0143375",
|
|
252 | markw | X"70810557",
|
|
X"34811470",
|
|||
X"83ffff06",
|
|||
X"ff157083",
|
|||
X"ffff0656",
|
|||
X"525552dd",
|
|||
X"39800b83",
|
|||
X"e0800c86",
|
|||
X"3d0d04fc",
|
|||
X"3d0d7678",
|
|||
X"7a115653",
|
|||
X"55805371",
|
|||
X"742e9338",
|
|||
X"72155170",
|
|||
351 | markw | X"3383e3b0",
|
|
252 | markw | X"13348112",
|
|
X"81145452",
|
|||
X"ea39800b",
|
|||
X"83e0800c",
|
|||
220 | markw | X"863d0d04",
|
|
252 | markw | X"fd3d0d90",
|
|
351 | markw | X"5483e39c",
|
|
252 | markw | X"085186f4",
|
|
220 | markw | X"3f83e080",
|
|
X"0881ff06",
|
|||
252 | markw | X"ff157130",
|
|
X"71307073",
|
|||
X"079f2a72",
|
|||
X"9f2a0652",
|
|||
X"55525553",
|
|||
X"72db3885",
|
|||
220 | markw | X"3d0d0480",
|
|
252 | markw | X"3d0d83e3",
|
|
351 | markw | X"a8081083",
|
|
X"e3a00807",
|
|||
252 | markw | X"9080a80c",
|
|
X"823d0d04",
|
|||
X"800b83e3",
|
|||
351 | markw | X"a80ce43f",
|
|
252 | markw | X"04810b83",
|
|
351 | markw | X"e3a80cdb",
|
|
252 | markw | X"3f04ed3f",
|
|
X"047183e3",
|
|||
351 | markw | X"a40c0480",
|
|
252 | markw | X"3d0d8051",
|
|
X"f43f810b",
|
|||
351 | markw | X"83e3a80c",
|
|
220 | markw | X"810b83e3",
|
|
351 | markw | X"a00cffbb",
|
|
252 | markw | X"3f823d0d",
|
|
X"04803d0d",
|
|||
X"72307074",
|
|||
X"07802583",
|
|||
351 | markw | X"e3a00c51",
|
|
252 | markw | X"ffa53f82",
|
|
X"3d0d0480",
|
|||
X"3d0d028b",
|
|||
X"05339080",
|
|||
X"a40c9080",
|
|||
X"a8087081",
|
|||
X"06515170",
|
|||
X"f5389080",
|
|||
X"a4087081",
|
|||
X"ff0683e0",
|
|||
X"800c5182",
|
|||
X"3d0d0480",
|
|||
X"3d0d81ff",
|
|||
X"51d13f83",
|
|||
X"e0800881",
|
|||
X"ff0683e0",
|
|||
X"800c823d",
|
|||
220 | markw | X"0d04803d",
|
|
252 | markw | X"0d73902b",
|
|
X"73079080",
|
|||
X"b40c823d",
|
|||
X"0d0404fb",
|
|||
X"3d0d7802",
|
|||
X"84059f05",
|
|||
X"3370982b",
|
|||
X"55575572",
|
|||
X"80259b38",
|
|||
X"7580ff06",
|
|||
X"56805280",
|
|||
X"f751e03f",
|
|||
X"83e08008",
|
|||
X"81ff0654",
|
|||
X"73812680",
|
|||
X"ff388051",
|
|||
X"fee73fff",
|
|||
X"a23f8151",
|
|||
X"fedf3fff",
|
|||
X"9a3f7551",
|
|||
X"feed3f74",
|
|||
X"982a51fe",
|
|||
X"e63f7490",
|
|||
X"2a7081ff",
|
|||
X"065253fe",
|
|||
X"da3f7488",
|
|||
X"2a7081ff",
|
|||
X"065253fe",
|
|||
X"ce3f7481",
|
|||
X"ff0651fe",
|
|||
X"c63f8155",
|
|||
X"7580c02e",
|
|||
X"09810686",
|
|||
X"38819555",
|
|||
X"8d397580",
|
|||
X"c82e0981",
|
|||
X"06843881",
|
|||
X"87557451",
|
|||
X"fea53f8a",
|
|||
X"55fec83f",
|
|||
X"83e08008",
|
|||
X"81ff0670",
|
|||
X"982b5454",
|
|||
X"7280258c",
|
|||
X"38ff1570",
|
|||
X"81ff0656",
|
|||
X"5374e238",
|
|||
X"7383e080",
|
|||
X"0c873d0d",
|
|||
X"04fa3d0d",
|
|||
X"fdc53f80",
|
|||
X"51fdda3f",
|
|||
X"8a54fe93",
|
|||
X"3fff1470",
|
|||
X"81ff0655",
|
|||
X"5373f338",
|
|||
X"73745355",
|
|||
X"80c051fe",
|
|||
X"a63f83e0",
|
|||
220 | markw | X"800881ff",
|
|
252 | markw | X"06547381",
|
|
X"2e098106",
|
|||
X"829f3883",
|
|||
X"aa5280c8",
|
|||
X"51fe8c3f",
|
|||
X"83e08008",
|
|||
X"81ff0653",
|
|||
X"72812e09",
|
|||
X"810681a8",
|
|||
X"38745487",
|
|||
X"3d741154",
|
|||
X"56fdc83f",
|
|||
X"83e08008",
|
|||
X"73348114",
|
|||
207 | markw | X"7081ff06",
|
|
252 | markw | X"55538374",
|
|
X"27e53802",
|
|||
X"9a053353",
|
|||
X"72812e09",
|
|||
X"810681d9",
|
|||
X"38029b05",
|
|||
X"335380ce",
|
|||
X"90547281",
|
|||
X"aa2e8d38",
|
|||
X"81c73980",
|
|||
346 | markw | X"e4518aa7",
|
|
252 | markw | X"3fff1454",
|
|
X"73802e81",
|
|||
X"b838820a",
|
|||
X"5281e951",
|
|||
X"fda53f83",
|
|||
220 | markw | X"e0800881",
|
|
252 | markw | X"ff065372",
|
|
X"de387252",
|
|||
X"80fa51fd",
|
|||
X"923f83e0",
|
|||
X"800881ff",
|
|||
X"06537281",
|
|||
X"90387254",
|
|||
X"731653fc",
|
|||
X"d63f83e0",
|
|||
X"80087334",
|
|||
X"81147081",
|
|||
220 | markw | X"ff065553",
|
|
252 | markw | X"837427e8",
|
|
X"38873d33",
|
|||
X"70862a70",
|
|||
X"81065154",
|
|||
X"548c5572",
|
|||
X"80e33884",
|
|||
X"5580de39",
|
|||
X"745281e9",
|
|||
X"51fccc3f",
|
|||
X"83e08008",
|
|||
X"81ff0653",
|
|||
X"825581e9",
|
|||
X"56817327",
|
|||
X"86387355",
|
|||
X"80c15680",
|
|||
X"ce90548a",
|
|||
X"3980e451",
|
|||
346 | markw | X"89993fff",
|
|
252 | markw | X"14547380",
|
|
X"2ea93880",
|
|||
X"527551fc",
|
|||
X"9a3f83e0",
|
|||
X"800881ff",
|
|||
X"065372e1",
|
|||
X"38848052",
|
|||
X"80d051fc",
|
|||
X"863f83e0",
|
|||
X"800881ff",
|
|||
X"06537280",
|
|||
X"2e833880",
|
|||
X"557483e3",
|
|||
351 | markw | X"ac348051",
|
|
252 | markw | X"fb873ffb",
|
|
X"c23f883d",
|
|||
X"0d04fb3d",
|
|||
X"0d775480",
|
|||
351 | markw | X"0b83e3ac",
|
|
252 | markw | X"3370832a",
|
|
X"70810651",
|
|||
X"55575572",
|
|||
X"752e0981",
|
|||
X"06853873",
|
|||
X"892b5473",
|
|||
X"5280d151",
|
|||
X"fbbd3f83",
|
|||
220 | markw | X"e0800881",
|
|
X"ff065372",
|
|||
252 | markw | X"bd3882b8",
|
|
X"c054fb83",
|
|||
220 | markw | X"3f83e080",
|
|
X"0881ff06",
|
|||
252 | markw | X"537281ff",
|
|
220 | markw | X"2e098106",
|
|
252 | markw | X"8938ff14",
|
|
X"5473e738",
|
|||
X"9f397281",
|
|||
X"fe2e0981",
|
|||
X"06963883",
|
|||
351 | markw | X"e7b05283",
|
|
X"e3b051fa",
|
|||
252 | markw | X"ed3ffad3",
|
|
X"3ffad03f",
|
|||
X"83398155",
|
|||
X"8051fa89",
|
|||
X"3ffac43f",
|
|||
X"7481ff06",
|
|||
X"83e0800c",
|
|||
X"873d0d04",
|
|||
X"fb3d0d77",
|
|||
351 | markw | X"83e3b056",
|
|
252 | markw | X"548151f9",
|
|
X"ec3f83e3",
|
|||
351 | markw | X"ac337083",
|
|
252 | markw | X"2a708106",
|
|
X"51545672",
|
|||
220 | markw | X"85387389",
|
|
X"2b547352",
|
|||
252 | markw | X"80d851fa",
|
|
X"b63f83e0",
|
|||
220 | markw | X"800881ff",
|
|
252 | markw | X"06537280",
|
|
X"e43881ff",
|
|||
X"51f9d43f",
|
|||
X"81fe51f9",
|
|||
X"ce3f8480",
|
|||
X"53747081",
|
|||
X"05563351",
|
|||
X"f9c13fff",
|
|||
X"137083ff",
|
|||
X"ff065153",
|
|||
X"72eb3872",
|
|||
X"51f9b03f",
|
|||
X"7251f9ab",
|
|||
X"3ff9d03f",
|
|||
220 | markw | X"83e08008",
|
|
252 | markw | X"9f0653a7",
|
|
X"88547285",
|
|||
X"2e8c3899",
|
|||
X"3980e451",
|
|||
346 | markw | X"86d13fff",
|
|
252 | markw | X"1454f9b3",
|
|
X"3f83e080",
|
|||
X"0881ff2e",
|
|||
X"843873e9",
|
|||
X"388051f8",
|
|||
X"e43ff99f",
|
|||
X"3f800b83",
|
|||
220 | markw | X"e0800c87",
|
|
252 | markw | X"3d0d0471",
|
|
351 | markw | X"83e7b40c",
|
|
X"8880800b",
|
|||
252 | markw | X"83e7b00c",
|
|
X"8480800b",
|
|||
351 | markw | X"83e7b80c",
|
|
252 | markw | X"04f03d0d",
|
|
X"83808056",
|
|||
351 | markw | X"83e7b408",
|
|
X"1683e7b0",
|
|||
252 | markw | X"08175654",
|
|
X"74337434",
|
|||
351 | markw | X"83e7b808",
|
|
252 | markw | X"16548074",
|
|
X"34811656",
|
|||
X"758380a0",
|
|||
X"2e098106",
|
|||
X"db3883d0",
|
|||
220 | markw | X"805683e7",
|
|
351 | markw | X"b4081683",
|
|
X"e7b00817",
|
|||
252 | markw | X"56547433",
|
|
X"743483e7",
|
|||
351 | markw | X"b8081654",
|
|
252 | markw | X"80743481",
|
|
X"16567583",
|
|||
255 | markw | X"d0902e09",
|
|
252 | markw | X"8106db38",
|
|
X"83a88056",
|
|||
351 | markw | X"83e7b408",
|
|
X"1683e7b0",
|
|||
252 | markw | X"08175654",
|
|
X"74337434",
|
|||
351 | markw | X"83e7b808",
|
|
252 | markw | X"16548074",
|
|
X"34811656",
|
|||
X"7583a890",
|
|||
207 | markw | X"2e098106",
|
|
252 | markw | X"db388056",
|
|
351 | markw | X"83e7b408",
|
|
X"1683e7b8",
|
|||
252 | markw | X"08175555",
|
|
X"73337534",
|
|||
X"81165675",
|
|||
X"8180802e",
|
|||
X"098106e4",
|
|||
348 | markw | X"3886f73f",
|
|
252 | markw | X"893d58a2",
|
|
351 | markw | X"5380eba4",
|
|
263 | markw | X"5277519f",
|
|
351 | markw | X"cb3f8057",
|
|
252 | markw | X"8c805683",
|
|
351 | markw | X"e7b80816",
|
|
252 | markw | X"77195555",
|
|
X"73337534",
|
|||
X"81168118",
|
|||
X"585676a2",
|
|||
X"2e098106",
|
|||
X"e638860b",
|
|||
X"87a88334",
|
|||
X"800b87a8",
|
|||
X"8234800b",
|
|||
X"87809a34",
|
|||
X"af0b8780",
|
|||
X"9634bf0b",
|
|||
X"87809734",
|
|||
X"800b8780",
|
|||
X"98349f0b",
|
|||
X"87809934",
|
|||
X"800b8780",
|
|||
X"9b34f80b",
|
|||
X"87a88934",
|
|||
X"7687a880",
|
|||
X"34820b87",
|
|||
X"d08f3482",
|
|||
X"0b87a881",
|
|||
X"34923d0d",
|
|||
X"04fe3d0d",
|
|||
220 | markw | X"805383e7",
|
|
351 | markw | X"b8081383",
|
|
X"e7b40814",
|
|||
193 | markw | X"52527033",
|
|
X"72348113",
|
|||
252 | markw | X"53728180",
|
|
X"802e0981",
|
|||
193 | markw | X"06e43883",
|
|
252 | markw | X"80805383",
|
|
351 | markw | X"e7b80813",
|
|
X"83e7b408",
|
|||
193 | markw | X"14525270",
|
|
X"33723481",
|
|||
X"13537283",
|
|||
252 | markw | X"80a02e09",
|
|
193 | markw | X"8106e438",
|
|
252 | markw | X"83d08053",
|
|
351 | markw | X"83e7b808",
|
|
X"1383e7b4",
|
|||
198 | markw | X"08145252",
|
|
X"70337234",
|
|||
X"81135372",
|
|||
255 | markw | X"83d0902e",
|
|
198 | markw | X"098106e4",
|
|
252 | markw | X"3883a880",
|
|
351 | markw | X"5383e7b8",
|
|
252 | markw | X"081383e7",
|
|
351 | markw | X"b4081452",
|
|
252 | markw | X"52703372",
|
|
X"34811353",
|
|||
X"7283a890",
|
|||
X"2e098106",
|
|||
X"e438843d",
|
|||
207 | markw | X"0d04803d",
|
|
X"0d908090",
|
|||
252 | markw | X"08810683",
|
|
X"e0800c82",
|
|||
207 | markw | X"3d0d04ff",
|
|
X"3d0d9080",
|
|||
X"90700870",
|
|||
252 | markw | X"fe067607",
|
|
X"720c5252",
|
|||
X"833d0d04",
|
|||
X"803d0d90",
|
|||
X"80900870",
|
|||
X"812c8106",
|
|||
X"83e0800c",
|
|||
X"51823d0d",
|
|||
X"04ff3d0d",
|
|||
X"90809070",
|
|||
X"0870fd06",
|
|||
X"76100772",
|
|||
198 | markw | X"0c525283",
|
|
X"3d0d0480",
|
|||
207 | markw | X"3d0d9080",
|
|
252 | markw | X"90087082",
|
|
X"2cbf0683",
|
|||
207 | markw | X"e0800c51",
|
|
X"823d0d04",
|
|||
X"ff3d0d90",
|
|||
X"80907008",
|
|||
252 | markw | X"70fe8306",
|
|
X"76822b07",
|
|||
207 | markw | X"720c5252",
|
|
X"833d0d04",
|
|||
X"803d0d90",
|
|||
X"80900870",
|
|||
252 | markw | X"882c8706",
|
|
207 | markw | X"83e0800c",
|
|
X"51823d0d",
|
|||
X"04ff3d0d",
|
|||
X"90809070",
|
|||
252 | markw | X"0870f1ff",
|
|
X"0676882b",
|
|||
207 | markw | X"07720c52",
|
|
X"52833d0d",
|
|||
X"04803d0d",
|
|||
X"90809008",
|
|||
346 | markw | X"70912cbf",
|
|
198 | markw | X"0683e080",
|
|
207 | markw | X"0c51823d",
|
|
193 | markw | X"0d04ff3d",
|
|
207 | markw | X"0d908090",
|
|
346 | markw | X"700870fc",
|
|
X"87ffff06",
|
|||
X"76912b07",
|
|||
252 | markw | X"720c5252",
|
|
X"833d0d04",
|
|||
207 | markw | X"803d0d90",
|
|
346 | markw | X"80900870",
|
|
X"992c8106",
|
|||
207 | markw | X"83e0800c",
|
|
193 | markw | X"51823d0d",
|
|
346 | markw | X"04ff3d0d",
|
|
X"90809070",
|
|||
X"0870ffbf",
|
|||
X"0a067699",
|
|||
X"2b07720c",
|
|||
X"5252833d",
|
|||
210 | markw | X"0d04803d",
|
|
X"0d908080",
|
|||
346 | markw | X"0870882c",
|
|
210 | markw | X"810683e0",
|
|
X"800c5182",
|
|||
252 | markw | X"3d0d0480",
|
|
X"3d0d9080",
|
|||
346 | markw | X"80087089",
|
|
252 | markw | X"2c810683",
|
|
X"e0800c51",
|
|||
X"823d0d04",
|
|||
263 | markw | X"803d0d90",
|
|
X"80800870",
|
|||
346 | markw | X"8a2c8106",
|
|
263 | markw | X"83e0800c",
|
|
X"51823d0d",
|
|||
346 | markw | X"04803d0d",
|
|
X"90808008",
|
|||
X"708b2c81",
|
|||
X"0683e080",
|
|||
X"0c51823d",
|
|||
X"0d04803d",
|
|||
X"0d908080",
|
|||
X"08708c2c",
|
|||
X"bf0683e0",
|
|||
X"800c5182",
|
|||
X"3d0d04fe",
|
|||
X"3d0d7481",
|
|||
X"e629872a",
|
|||
X"9080a00c",
|
|||
193 | markw | X"843d0d04",
|
|
346 | markw | X"fe3d0d75",
|
|
X"75ff1953",
|
|||
X"535370ff",
|
|||
X"2e8d3872",
|
|||
X"72708105",
|
|||
X"5434ff11",
|
|||
X"51f03984",
|
|||
X"3d0d04fe",
|
|||
X"3d0d7575",
|
|||
X"ff195353",
|
|||
X"5370ff2e",
|
|||
X"8d387272",
|
|||
X"70840554",
|
|||
X"0cff1151",
|
|||
X"f039843d",
|
|||
263 | markw | X"0d04fe3d",
|
|
346 | markw | X"0d818080",
|
|
X"53805288",
|
|||
X"800a51ff",
|
|||
X"b33fa080",
|
|||
X"53805282",
|
|||
X"800a51c7",
|
|||
X"3f843d0d",
|
|||
X"04803d0d",
|
|||
X"8151fcab",
|
|||
X"3f72802e",
|
|||
X"90388051",
|
|||
X"fdff3fce",
|
|||
351 | markw | X"3f80eed0",
|
|
346 | markw | X"3351fdf5",
|
|
X"3f8151fc",
|
|||
X"bc3f8051",
|
|||
X"fcb73f80",
|
|||
X"51fc883f",
|
|||
X"823d0d04",
|
|||
X"fd3d0d75",
|
|||
X"52805480",
|
|||
X"ff722588",
|
|||
X"38810bff",
|
|||
X"80135354",
|
|||
X"ffbf1251",
|
|||
X"70992686",
|
|||
X"38e01252",
|
|||
348 | markw | X"b039ff9f",
|
|
346 | markw | X"12519971",
|
|
348 | markw | X"27a738d0",
|
|
X"12e01354",
|
|||
X"51708926",
|
|||
X"85387252",
|
|||
X"9839728f",
|
|||
X"26853872",
|
|||
X"528f3971",
|
|||
X"ba2e0981",
|
|||
X"0685389a",
|
|||
X"52833980",
|
|||
X"5273802e",
|
|||
X"85388180",
|
|||
X"12527181",
|
|||
X"ff0683e0",
|
|||
X"800c853d",
|
|||
X"0d04803d",
|
|||
X"0d84d8c0",
|
|||
X"51807170",
|
|||
X"81055334",
|
|||
X"7084e0c0",
|
|||
X"2e098106",
|
|||
X"f038823d",
|
|||
X"0d04fe3d",
|
|||
X"0d029705",
|
|||
X"3351fef4",
|
|||
263 | markw | X"3f83e080",
|
|
348 | markw | X"0881ff06",
|
|
351 | markw | X"83e7c008",
|
|
348 | markw | X"54528073",
|
|
X"249b3883",
|
|||
351 | markw | X"e7e00813",
|
|
X"7283e7e4",
|
|||
348 | markw | X"08075353",
|
|
X"71733483",
|
|||
351 | markw | X"e7c00881",
|
|
X"0583e7c0",
|
|||
348 | markw | X"0c843d0d",
|
|
X"04fa3d0d",
|
|||
X"82800a1b",
|
|||
X"55805788",
|
|||
X"3dfc0554",
|
|||
X"79537452",
|
|||
X"7851ffbb",
|
|||
X"a13f883d",
|
|||
310 | markw | X"0d04fe3d",
|
|
351 | markw | X"0d83e7d8",
|
|
348 | markw | X"08527451",
|
|
X"c2853f83",
|
|||
X"e080088c",
|
|||
X"38765375",
|
|||
351 | markw | X"5283e7d8",
|
|
348 | markw | X"0851c63f",
|
|
252 | markw | X"843d0d04",
|
|
348 | markw | X"fe3d0d83",
|
|
351 | markw | X"e7d80853",
|
|
348 | markw | X"75527451",
|
|
X"ffbcc33f",
|
|||
310 | markw | X"83e08008",
|
|
348 | markw | X"8d387753",
|
|
X"765283e7",
|
|||
351 | markw | X"d80851ff",
|
|
348 | markw | X"a03f843d",
|
|
X"0d04fd3d",
|
|||
351 | markw | X"0d83e7d8",
|
|
348 | markw | X"0851ffbb",
|
|
X"b63f83e0",
|
|||
X"80089080",
|
|||
X"2e098106",
|
|||
X"ad388054",
|
|||
X"83c18080",
|
|||
X"5383e080",
|
|||
X"085283e7",
|
|||
351 | markw | X"d80851fe",
|
|
348 | markw | X"f03f87c1",
|
|
X"80801433",
|
|||
X"87c19080",
|
|||
X"15348114",
|
|||
X"54739080",
|
|||
X"2e098106",
|
|||
X"e938853d",
|
|||
X"0d0480ec",
|
|||
351 | markw | X"9c0b83e0",
|
|
348 | markw | X"800c04fc",
|
|
X"3d0d7654",
|
|||
X"73902e80",
|
|||
X"ff387390",
|
|||
X"248e3873",
|
|||
X"842e9838",
|
|||
X"73862ea6",
|
|||
X"3882b939",
|
|||
X"73932e81",
|
|||
X"95387394",
|
|||
X"2e81cf38",
|
|||
X"82aa3981",
|
|||
X"80805382",
|
|||
X"80805283",
|
|||
351 | markw | X"e7d40851",
|
|
348 | markw | X"fe8f3f82",
|
|
X"b5398054",
|
|||
X"81808053",
|
|||
X"80c08052",
|
|||
351 | markw | X"83e7d408",
|
|
348 | markw | X"51fdfa3f",
|
|
X"82808053",
|
|||
X"80c08052",
|
|||
351 | markw | X"83e7d408",
|
|
348 | markw | X"51fdea3f",
|
|
X"84818080",
|
|||
X"14338481",
|
|||
X"c0801534",
|
|||
X"84828080",
|
|||
X"14338482",
|
|||
X"c0801534",
|
|||
310 | markw | X"81145473",
|
|
348 | markw | X"80c0802e",
|
|
X"098106dc",
|
|||
X"3881eb39",
|
|||
X"82808053",
|
|||
X"81808052",
|
|||
351 | markw | X"83e7d408",
|
|
348 | markw | X"51fdb23f",
|
|
X"80548482",
|
|||
X"80801433",
|
|||
X"84818080",
|
|||
X"15348114",
|
|||
X"54738180",
|
|||
X"802e0981",
|
|||
X"06e83881",
|
|||
X"bd398180",
|
|||
346 | markw | X"805380c0",
|
|
X"805283e7",
|
|||
351 | markw | X"d40851fd",
|
|
348 | markw | X"843f8055",
|
|
X"84818080",
|
|||
X"15547333",
|
|||
346 | markw | X"8481c080",
|
|
348 | markw | X"16347333",
|
|
X"84828080",
|
|||
X"16347333",
|
|||
346 | markw | X"8482c080",
|
|
348 | markw | X"16348115",
|
|
X"557480c0",
|
|||
310 | markw | X"802e0981",
|
|
348 | markw | X"06d63880",
|
|
X"fd398180",
|
|||
X"8053a080",
|
|||
351 | markw | X"5283e7d4",
|
|
348 | markw | X"0851fcc5",
|
|
X"3f805584",
|
|||
X"81808015",
|
|||
X"54733384",
|
|||
X"81a08016",
|
|||
X"34733384",
|
|||
X"81c08016",
|
|||
X"34733384",
|
|||
X"81e08016",
|
|||
X"34733384",
|
|||
X"82808016",
|
|||
X"34733384",
|
|||
X"82a08016",
|
|||
X"34733384",
|
|||
X"82c08016",
|
|||
X"34733384",
|
|||
X"82e08016",
|
|||
X"34811555",
|
|||
X"74a0802e",
|
|||
X"098106ff",
|
|||
X"b6389f39",
|
|||
X"fb9c3f80",
|
|||
351 | markw | X"0b83e7c0",
|
|
348 | markw | X"0c800b83",
|
|
351 | markw | X"e7e40c80",
|
|
X"eca051e8",
|
|||
348 | markw | X"893f81b7",
|
|
X"8dc051f8",
|
|||
X"fe3f863d",
|
|||
X"0d04fc3d",
|
|||
X"0d767052",
|
|||
X"55ffbed7",
|
|||
X"3f83e080",
|
|||
X"08548153",
|
|||
X"83e08008",
|
|||
X"80c23874",
|
|||
X"51ffbe99",
|
|||
X"3f83e080",
|
|||
351 | markw | X"0880ecbc",
|
|
348 | markw | X"5383e080",
|
|
X"085253d6",
|
|||
X"f73f83e0",
|
|||
X"8008a138",
|
|||
351 | markw | X"80ecc052",
|
|
348 | markw | X"7251d6e8",
|
|
X"3f83e080",
|
|||
X"08923880",
|
|||
351 | markw | X"ecc45272",
|
|
348 | markw | X"51d6d93f",
|
|
X"83e08008",
|
|||
X"802e8338",
|
|||
X"81547353",
|
|||
X"7283e080",
|
|||
X"0c863d0d",
|
|||
X"04f13d0d",
|
|||
X"80d59a0b",
|
|||
X"83e3900c",
|
|||
351 | markw | X"83e7d408",
|
|
348 | markw | X"51d8863f",
|
|
351 | markw | X"83e7d408",
|
|
348 | markw | X"51ffb485",
|
|
X"3fff0b80",
|
|||
351 | markw | X"ecc05383",
|
|
346 | markw | X"e0800852",
|
|
348 | markw | X"56d6993f",
|
|
252 | markw | X"83e08008",
|
|
348 | markw | X"802e9f38",
|
|
X"8058913d",
|
|||
X"dc115555",
|
|||
X"9053f015",
|
|||
351 | markw | X"5283e7d4",
|
|
348 | markw | X"0851ffb5",
|
|
X"e13f02b7",
|
|||
X"05335681",
|
|||
X"a33983e7",
|
|||
351 | markw | X"d40851ff",
|
|
348 | markw | X"b6bd3f83",
|
|
X"e0800857",
|
|||
X"83e08008",
|
|||
X"8280802e",
|
|||
X"09810683",
|
|||
X"38845683",
|
|||
X"e0800881",
|
|||
X"80802e09",
|
|||
X"810680df",
|
|||
X"38805b80",
|
|||
X"5a8059f9",
|
|||
X"953f800b",
|
|||
351 | markw | X"83e7c00c",
|
|
310 | markw | X"800b83e7",
|
|
351 | markw | X"e40c80ec",
|
|
X"c851e682",
|
|||
348 | markw | X"3f80d00b",
|
|
351 | markw | X"83e7c00c",
|
|
X"80ecd851",
|
|||
348 | markw | X"e5f43f80",
|
|
X"f80b83e7",
|
|||
351 | markw | X"c00c80ec",
|
|
X"ec51e5e6",
|
|||
348 | markw | X"3f758025",
|
|
X"a2388052",
|
|||
X"893d7052",
|
|||
351 | markw | X"5589dd3f",
|
|
348 | markw | X"83527451",
|
|
351 | markw | X"89d63f78",
|
|
348 | markw | X"55748025",
|
|
X"83389056",
|
|||
X"807525dd",
|
|||
X"38865676",
|
|||
X"80c0802e",
|
|||
X"09810685",
|
|||
X"3893568c",
|
|||
X"3976a080",
|
|||
X"2e098106",
|
|||
X"83389456",
|
|||
X"7551faaf",
|
|||
X"3f913d0d",
|
|||
X"04f73d0d",
|
|||
X"80598058",
|
|||
X"80578070",
|
|||
X"5656f88e",
|
|||
X"3f800b83",
|
|||
351 | markw | X"e7c00c80",
|
|
X"0b83e7e4",
|
|||
X"0c80ed80",
|
|||
348 | markw | X"51e4fb3f",
|
|
X"81800b83",
|
|||
351 | markw | X"e7e40c80",
|
|
X"ed8451e4",
|
|||
348 | markw | X"ed3f80d0",
|
|
351 | markw | X"0b83e7c0",
|
|
348 | markw | X"0c743070",
|
|
X"76078025",
|
|||
X"70872b83",
|
|||
351 | markw | X"e7e40c51",
|
|
348 | markw | X"53f3b03f",
|
|
X"83e08008",
|
|||
351 | markw | X"5280ed8c",
|
|
348 | markw | X"51e4c73f",
|
|
X"80f80b83",
|
|||
351 | markw | X"e7c00c74",
|
|
348 | markw | X"81327030",
|
|
X"70720780",
|
|||
X"2570872b",
|
|||
351 | markw | X"83e7e40c",
|
|
348 | markw | X"515454f9",
|
|
X"ad3f83e0",
|
|||
263 | markw | X"80085280",
|
|
351 | markw | X"ed9851e4",
|
|
348 | markw | X"9d3f81a0",
|
|
351 | markw | X"0b83e7c0",
|
|
348 | markw | X"0c748232",
|
|
310 | markw | X"70307072",
|
|
X"07802570",
|
|||
X"872b83e7",
|
|||
351 | markw | X"e40c5154",
|
|
X"83e7d808",
|
|||
348 | markw | X"5254ffb1",
|
|
X"803f83e0",
|
|||
X"80085280",
|
|||
351 | markw | X"eda051e3",
|
|
348 | markw | X"ed3f81c8",
|
|
351 | markw | X"0b83e7c0",
|
|
348 | markw | X"0c748332",
|
|
X"70307072",
|
|||
X"07802570",
|
|||
X"872b83e7",
|
|||
351 | markw | X"e40c5154",
|
|
X"83e7d408",
|
|||
348 | markw | X"5254ffb0",
|
|
X"d03f80ed",
|
|||
351 | markw | X"a85383e0",
|
|
348 | markw | X"8008802e",
|
|
X"8f3883e7",
|
|||
351 | markw | X"d40851ff",
|
|
348 | markw | X"b0bb3f83",
|
|
X"e0800853",
|
|||
X"725280ed",
|
|||
351 | markw | X"b051e3a6",
|
|
348 | markw | X"3f81f00b",
|
|
351 | markw | X"83e7c00c",
|
|
348 | markw | X"74843270",
|
|
X"30707207",
|
|||
X"80257087",
|
|||
351 | markw | X"2b83e7e4",
|
|
348 | markw | X"0c515580",
|
|
351 | markw | X"edb85253",
|
|
348 | markw | X"e3843f86",
|
|
X"8da051f3",
|
|||
X"fa3f8052",
|
|||
X"873d7052",
|
|||
351 | markw | X"5386f93f",
|
|
348 | markw | X"83527251",
|
|
351 | markw | X"86f23f77",
|
|
348 | markw | X"15557480",
|
|
X"25853880",
|
|||
X"55903984",
|
|||
X"75258538",
|
|||
X"84558739",
|
|||
X"74842681",
|
|||
X"a0387484",
|
|||
351 | markw | X"2980ebc8",
|
|
348 | markw | X"05537208",
|
|
X"04f1a03f",
|
|||
310 | markw | X"83e08008",
|
|
348 | markw | X"77555373",
|
|
X"812e0981",
|
|||
X"06893883",
|
|||
X"e0800810",
|
|||
X"53903973",
|
|||
X"ff2e0981",
|
|||
X"06883883",
|
|||
X"e0800881",
|
|||
X"2c539073",
|
|||
X"25853890",
|
|||
X"53883972",
|
|||
X"80248338",
|
|||
X"81537251",
|
|||
X"f0fa3f80",
|
|||
X"d439f18c",
|
|||
X"3f83e080",
|
|||
X"08175372",
|
|||
X"80258538",
|
|||
X"80538839",
|
|||
X"87732583",
|
|||
X"38875372",
|
|||
X"51f1863f",
|
|||
X"b4397686",
|
|||
X"3878802e",
|
|||
X"ac3883e3",
|
|||
X"8c0883e3",
|
|||
X"880cade3",
|
|||
X"0b83e390",
|
|||
351 | markw | X"0c83e7d8",
|
|
348 | markw | X"0851d2c5",
|
|
X"3ff5ff3f",
|
|||
X"90397880",
|
|||
X"2e8b38fa",
|
|||
X"a03f8153",
|
|||
X"8c397887",
|
|||
X"3875802e",
|
|||
X"fc9c3880",
|
|||
X"537283e0",
|
|||
X"800c8b3d",
|
|||
X"0d04ff3d",
|
|||
X"0df1af3f",
|
|||
263 | markw | X"83e08008",
|
|
348 | markw | X"802e8638",
|
|
X"805180da",
|
|||
X"39f1b43f",
|
|||
263 | markw | X"83e08008",
|
|
348 | markw | X"80ce38f1",
|
|
X"d43f83e0",
|
|||
X"8008802e",
|
|||
X"aa388151",
|
|||
X"ef913feb",
|
|||
X"d83f800b",
|
|||
351 | markw | X"83e7c00c",
|
|
348 | markw | X"fbcb3f83",
|
|
X"e0800852",
|
|||
X"ff0b83e7",
|
|||
351 | markw | X"c00ceddd",
|
|
348 | markw | X"3f71a138",
|
|
X"7151eeef",
|
|||
X"3f9f39f1",
|
|||
X"8b3f83e0",
|
|||
X"8008802e",
|
|||
X"94388151",
|
|||
X"eedd3feb",
|
|||
X"a43ff9a1",
|
|||
X"3fedba3f",
|
|||
X"8151f29d",
|
|||
X"3f833d0d",
|
|||
X"04fe3d0d",
|
|||
X"82808053",
|
|||
X"80528181",
|
|||
X"808051f1",
|
|||
X"ab3f80c0",
|
|||
X"80538052",
|
|||
X"84818080",
|
|||
X"51f1bc3f",
|
|||
X"90808052",
|
|||
X"86848080",
|
|||
X"51ffb1a8",
|
|||
346 | markw | X"3f83e080",
|
|
348 | markw | X"08a43880",
|
|
351 | markw | X"eed451ff",
|
|
348 | markw | X"b5eb3f83",
|
|
351 | markw | X"e7d80853",
|
|
X"80edc052",
|
|||
263 | markw | X"83e08008",
|
|
348 | markw | X"51ffb0ca",
|
|
X"3f83e080",
|
|||
X"088438f4",
|
|||
X"953f8151",
|
|||
X"f1bf3ffe",
|
|||
X"b13ffc39",
|
|||
X"83e08c08",
|
|||
X"0283e08c",
|
|||
X"0cfb3d0d",
|
|||
351 | markw | X"0280edcc",
|
|
348 | markw | X"0b83e38c",
|
|
351 | markw | X"0c80ecc4",
|
|
348 | markw | X"0b83e384",
|
|
351 | markw | X"0c80ecc0",
|
|
X"0b83e398",
|
|||
X"0c80edd0",
|
|||
348 | markw | X"0b83e394",
|
|
X"0c83e08c",
|
|||
X"08fc050c",
|
|||
346 | markw | X"800b83e7",
|
|
351 | markw | X"c40b83e0",
|
|
348 | markw | X"8c08f805",
|
|
X"0c83e08c",
|
|||
X"08f4050c",
|
|||
351 | markw | X"ffafa13f",
|
|
263 | markw | X"83e08008",
|
|
348 | markw | X"8605fc06",
|
|
193 | markw | X"83e08c08",
|
|
348 | markw | X"f0050c02",
|
|
X"83e08c08",
|
|||
X"f0050831",
|
|||
X"0d833d70",
|
|||
X"83e08c08",
|
|||
X"f8050870",
|
|||
X"840583e0",
|
|||
X"8c08f805",
|
|||
X"0c0c51ff",
|
|||
351 | markw | X"abe93f83",
|
|
346 | markw | X"e08c08f4",
|
|
348 | markw | X"05088105",
|
|
310 | markw | X"83e08c08",
|
|
348 | markw | X"f4050c83",
|
|
X"e08c08f4",
|
|||
X"0508872e",
|
|||
X"098106ff",
|
|||
X"ab388694",
|
|||
351 | markw | X"808051e8",
|
|
X"fa3fff0b",
|
|||
X"83e7c00c",
|
|||
348 | markw | X"800b83e7",
|
|
351 | markw | X"e40c84d8",
|
|
348 | markw | X"c00b83e7",
|
|
351 | markw | X"e00c8151",
|
|
X"ecad3f81",
|
|||
X"51ecd23f",
|
|||
X"8051eccd",
|
|||
348 | markw | X"3f8151ec",
|
|
351 | markw | X"f33f8251",
|
|
X"ed9b3f80",
|
|||
X"51edc33f",
|
|||
X"8051eded",
|
|||
348 | markw | X"3f80d0b2",
|
|
X"528051dd",
|
|||
351 | markw | X"de3ffdb9",
|
|
346 | markw | X"3f83e08c",
|
|
348 | markw | X"08fc0508",
|
|
X"0d800b83",
|
|||
X"e0800c87",
|
|||
X"3d0d83e0",
|
|||
X"8c0c04fd",
|
|||
X"3d0d7554",
|
|||
X"80740c80",
|
|||
X"0b84150c",
|
|||
X"800b8815",
|
|||
X"0c87d089",
|
|||
X"3387d08f",
|
|||
X"3370822a",
|
|||
X"70810670",
|
|||
X"30707207",
|
|||
X"7009709f",
|
|||
X"2c77069e",
|
|||
X"06545151",
|
|||
X"55515153",
|
|||
351 | markw | X"53edff3f",
|
|
348 | markw | X"80729806",
|
|
X"52537088",
|
|||
346 | markw | X"2e098106",
|
|
348 | markw | X"83388153",
|
|
X"70983270",
|
|||
X"30708025",
|
|||
X"75713184",
|
|||
X"180c5151",
|
|||
X"51807286",
|
|||
X"06525370",
|
|||
X"822e0981",
|
|||
X"06833881",
|
|||
X"53708632",
|
|||
X"70307080",
|
|||
X"25757131",
|
|||
X"770c5151",
|
|||
X"51719432",
|
|||
X"70307080",
|
|||
X"2588170c",
|
|||
X"515183e0",
|
|||
X"8008802e",
|
|||
X"80c23883",
|
|||
X"e0800881",
|
|||
X"2a708106",
|
|||
346 | markw | X"83e08008",
|
|
348 | markw | X"81063184",
|
|
X"160c5183",
|
|||
X"e0800883",
|
|||
X"2a83e080",
|
|||
X"08822a71",
|
|||
X"81067181",
|
|||
X"0631760c",
|
|||
X"525283e0",
|
|||
X"8008842a",
|
|||
X"81068815",
|
|||
X"0c83e080",
|
|||
X"08852a81",
|
|||
X"068c150c",
|
|||
X"853d0d04",
|
|||
X"fe3d0d74",
|
|||
X"76545271",
|
|||
X"51fea03f",
|
|||
X"72812ea2",
|
|||
X"38817326",
|
|||
X"8d387282",
|
|||
X"2eab3872",
|
|||
X"832e9f38",
|
|||
X"e6397108",
|
|||
X"e2388412",
|
|||
X"08dd3888",
|
|||
X"1208d838",
|
|||
X"a0398812",
|
|||
X"08812e09",
|
|||
X"8106cc38",
|
|||
X"94398812",
|
|||
X"08812e8d",
|
|||
X"38710889",
|
|||
X"38841208",
|
|||
X"802effb7",
|
|||
X"38843d0d",
|
|||
263 | markw | X"0483e08c",
|
|
X"080283e0",
|
|||
348 | markw | X"8c0cfd3d",
|
|
X"0d805383",
|
|||
X"e08c088c",
|
|||
X"05085283",
|
|||
X"e08c0888",
|
|||
X"05085183",
|
|||
X"d43f83e0",
|
|||
X"80087083",
|
|||
X"e0800c54",
|
|||
X"853d0d83",
|
|||
X"e08c0c04",
|
|||
263 | markw | X"83e08c08",
|
|
348 | markw | X"0283e08c",
|
|
X"0cfd3d0d",
|
|||
X"815383e0",
|
|||
346 | markw | X"8c088c05",
|
|
X"085283e0",
|
|||
X"8c088805",
|
|||
348 | markw | X"085183a1",
|
|
346 | markw | X"3f83e080",
|
|
X"087083e0",
|
|||
348 | markw | X"800c5485",
|
|
X"3d0d83e0",
|
|||
X"8c0c0483",
|
|||
X"e08c0802",
|
|||
X"83e08c0c",
|
|||
X"f93d0d80",
|
|||
X"0b83e08c",
|
|||
X"08fc050c",
|
|||
263 | markw | X"83e08c08",
|
|
348 | markw | X"88050880",
|
|
X"25b93883",
|
|||
X"e08c0888",
|
|||
X"05083083",
|
|||
X"e08c0888",
|
|||
X"050c800b",
|
|||
X"83e08c08",
|
|||
X"f4050c83",
|
|||
252 | markw | X"e08c08fc",
|
|
348 | markw | X"05088a38",
|
|
263 | markw | X"810b83e0",
|
|
348 | markw | X"8c08f405",
|
|
255 | markw | X"0c83e08c",
|
|
348 | markw | X"08f40508",
|
|
263 | markw | X"83e08c08",
|
|
348 | markw | X"fc050c83",
|
|
X"e08c088c",
|
|||
X"05088025",
|
|||
X"b93883e0",
|
|||
X"8c088c05",
|
|||
X"083083e0",
|
|||
X"8c088c05",
|
|||
X"0c800b83",
|
|||
X"e08c08f0",
|
|||
X"050c83e0",
|
|||
X"8c08fc05",
|
|||
X"088a3881",
|
|||
X"0b83e08c",
|
|||
X"08f0050c",
|
|||
346 | markw | X"83e08c08",
|
|
348 | markw | X"f0050883",
|
|
X"e08c08fc",
|
|||
X"050c8053",
|
|||
X"83e08c08",
|
|||
X"8c050852",
|
|||
X"83e08c08",
|
|||
X"88050851",
|
|||
X"81df3f83",
|
|||
346 | markw | X"e0800870",
|
|
193 | markw | X"83e08c08",
|
|
346 | markw | X"f8050c54",
|
|
263 | markw | X"83e08c08",
|
|
346 | markw | X"fc050880",
|
|
X"2e903883",
|
|||
263 | markw | X"e08c08f8",
|
|
X"05083083",
|
|||
252 | markw | X"e08c08f8",
|
|
346 | markw | X"050c83e0",
|
|
252 | markw | X"8c08f805",
|
|
346 | markw | X"087083e0",
|
|
348 | markw | X"800c5489",
|
|
346 | markw | X"3d0d83e0",
|
|
X"8c0c0483",
|
|||
X"e08c0802",
|
|||
X"83e08c0c",
|
|||
348 | markw | X"fb3d0d80",
|
|
346 | markw | X"0b83e08c",
|
|
X"08fc050c",
|
|||
244 | markw | X"83e08c08",
|
|
348 | markw | X"88050880",
|
|
X"25993883",
|
|||
X"e08c0888",
|
|||
X"05083083",
|
|||
X"e08c0888",
|
|||
X"050c810b",
|
|||
X"83e08c08",
|
|||
X"fc050c83",
|
|||
346 | markw | X"e08c088c",
|
|
348 | markw | X"05088025",
|
|
X"903883e0",
|
|||
255 | markw | X"8c088c05",
|
|
348 | markw | X"083083e0",
|
|
X"8c088c05",
|
|||
X"0c815383",
|
|||
X"e08c088c",
|
|||
X"05085283",
|
|||
346 | markw | X"e08c0888",
|
|
348 | markw | X"050851bd",
|
|
X"3f83e080",
|
|||
X"087083e0",
|
|||
X"8c08f805",
|
|||
X"0c5483e0",
|
|||
X"8c08fc05",
|
|||
X"08802e90",
|
|||
X"3883e08c",
|
|||
346 | markw | X"08f80508",
|
|
348 | markw | X"3083e08c",
|
|
X"08f8050c",
|
|||
252 | markw | X"83e08c08",
|
|
348 | markw | X"f8050870",
|
|
X"83e0800c",
|
|||
X"54873d0d",
|
|||
X"83e08c0c",
|
|||
X"0483e08c",
|
|||
X"080283e0",
|
|||
X"8c0cfd3d",
|
|||
X"0d810b83",
|
|||
X"e08c08fc",
|
|||
X"050c800b",
|
|||
263 | markw | X"83e08c08",
|
|
346 | markw | X"f8050c83",
|
|
348 | markw | X"e08c088c",
|
|
X"050883e0",
|
|||
X"8c088805",
|
|||
X"0827b938",
|
|||
244 | markw | X"83e08c08",
|
|
348 | markw | X"fc050880",
|
|
X"2eae3880",
|
|||
X"0b83e08c",
|
|||
X"088c0508",
|
|||
X"24a23883",
|
|||
346 | markw | X"e08c088c",
|
|
348 | markw | X"05081083",
|
|
X"e08c088c",
|
|||
X"050c83e0",
|
|||
X"8c08fc05",
|
|||
X"081083e0",
|
|||
X"8c08fc05",
|
|||
X"0cffb839",
|
|||
244 | markw | X"83e08c08",
|
|
348 | markw | X"fc050880",
|
|
X"2e80e138",
|
|||
X"83e08c08",
|
|||
X"8c050883",
|
|||
X"e08c0888",
|
|||
X"050826ad",
|
|||
346 | markw | X"3883e08c",
|
|
X"08880508",
|
|||
348 | markw | X"83e08c08",
|
|
X"8c050831",
|
|||
X"83e08c08",
|
|||
X"88050c83",
|
|||
346 | markw | X"e08c08f8",
|
|
X"050883e0",
|
|||
348 | markw | X"8c08fc05",
|
|
X"080783e0",
|
|||
X"8c08f805",
|
|||
X"0c83e08c",
|
|||
X"08fc0508",
|
|||
X"812a83e0",
|
|||
X"8c08fc05",
|
|||
X"0c83e08c",
|
|||
X"088c0508",
|
|||
X"812a83e0",
|
|||
X"8c088c05",
|
|||
X"0cff9539",
|
|||
255 | markw | X"83e08c08",
|
|
348 | markw | X"90050880",
|
|
X"2e933883",
|
|||
263 | markw | X"e08c0888",
|
|
348 | markw | X"05087083",
|
|
X"e08c08f4",
|
|||
X"050c5191",
|
|||
X"3983e08c",
|
|||
X"08f80508",
|
|||
346 | markw | X"7083e08c",
|
|
348 | markw | X"08f4050c",
|
|
X"5183e08c",
|
|||
X"08f40508",
|
|||
X"83e0800c",
|
|||
X"853d0d83",
|
|||
X"e08c0c04",
|
|||
255 | markw | X"83e08c08",
|
|
348 | markw | X"0283e08c",
|
|
X"0cff3d0d",
|
|||
X"800b83e0",
|
|||
X"8c08fc05",
|
|||
X"0c83e08c",
|
|||
X"08880508",
|
|||
X"8106ff11",
|
|||
X"70097083",
|
|||
X"e08c088c",
|
|||
X"05080683",
|
|||
X"e08c08fc",
|
|||
X"05081183",
|
|||
X"e08c08fc",
|
|||
X"050c83e0",
|
|||
X"8c088805",
|
|||
X"08812a83",
|
|||
346 | markw | X"e08c0888",
|
|
348 | markw | X"050c83e0",
|
|
X"8c088c05",
|
|||
X"081083e0",
|
|||
X"8c088c05",
|
|||
X"0c515151",
|
|||
X"5183e08c",
|
|||
X"08880508",
|
|||
X"802e8438",
|
|||
X"ffab3983",
|
|||
X"e08c08fc",
|
|||
X"05087083",
|
|||
X"e0800c51",
|
|||
X"833d0d83",
|
|||
X"e08c0c04",
|
|||
X"fc3d0d76",
|
|||
X"70797b55",
|
|||
X"5555558f",
|
|||
X"72278c38",
|
|||
X"72750783",
|
|||
X"06517080",
|
|||
X"2ea938ff",
|
|||
X"125271ff",
|
|||
X"2e983872",
|
|||
X"70810554",
|
|||
X"33747081",
|
|||
X"055634ff",
|
|||
X"125271ff",
|
|||
X"2e098106",
|
|||
X"ea387483",
|
|||
X"e0800c86",
|
|||
X"3d0d0474",
|
|||
X"51727084",
|
|||
X"05540871",
|
|||
X"70840553",
|
|||
X"0c727084",
|
|||
X"05540871",
|
|||
X"70840553",
|
|||
X"0c727084",
|
|||
X"05540871",
|
|||
X"70840553",
|
|||
X"0c727084",
|
|||
X"05540871",
|
|||
X"70840553",
|
|||
X"0cf01252",
|
|||
X"718f26c9",
|
|||
X"38837227",
|
|||
X"95387270",
|
|||
X"84055408",
|
|||
X"71708405",
|
|||
X"530cfc12",
|
|||
X"52718326",
|
|||
X"ed387054",
|
|||
X"ff813900",
|
|||
177 | markw | X"00ffffff",
|
|
X"ff00ffff",
|
|||
X"ffff00ff",
|
|||
X"ffffff00",
|
|||
193 | markw | X"809a9041",
|
|
X"8e418f80",
|
|||
X"45454549",
|
|||
X"49498e8f",
|
|||
X"9092924f",
|
|||
X"994f5555",
|
|||
X"59999a9b",
|
|||
X"9c9d9e9f",
|
|||
X"41494f55",
|
|||
X"a5a5a6a7",
|
|||
X"a8a9aaab",
|
|||
X"ac21aeaf",
|
|||
X"b0b1b2b3",
|
|||
X"b4b5b6b7",
|
|||
X"b8b9babb",
|
|||
X"bcbdbebf",
|
|||
X"c0c1c2c3",
|
|||
X"c4c5c6c7",
|
|||
X"c8c9cacb",
|
|||
X"cccdcecf",
|
|||
X"d0d1d2d3",
|
|||
X"d4d5d6d7",
|
|||
X"d8d9dadb",
|
|||
X"dcdddedf",
|
|||
X"e0e1e2e3",
|
|||
X"e4e5e6e7",
|
|||
X"e8e9eaeb",
|
|||
X"ecedeeef",
|
|||
X"f0f1f2f3",
|
|||
X"f4f5f6f7",
|
|||
X"f8f9fafb",
|
|||
X"fcfdfeff",
|
|||
177 | markw | X"70704740",
|
|
X"2c704268",
|
|||
X"2c020202",
|
|||
X"02020202",
|
|||
X"02020202",
|
|||
X"02020202",
|
|||
X"02020202",
|
|||
X"02020241",
|
|||
X"00060000",
|
|||
348 | markw | X"00002d51",
|
|
X"00002d92",
|
|||
X"00002db2",
|
|||
X"00002dd6",
|
|||
X"00002de2",
|
|||
310 | markw | X"2e2e0000",
|
|
193 | markw | X"25732025",
|
|
X"73000000",
|
|||
X"20000000",
|
|||
X"41545200",
|
|||
X"58464400",
|
|||
X"58455800",
|
|||
X"43686f6f",
|
|||
X"73652000",
|
|||
X"66696c65",
|
|||
X"00000000",
|
|||
X"4449523a",
|
|||
X"00000000",
|
|||
X"44495200",
|
|||
X"25303278",
|
|||
X"00000000",
|
|||
177 | markw | X"31364b00",
|
|
252 | markw | X"556e6b6e",
|
|
X"6f776e20",
|
|||
X"74797065",
|
|||
X"206f6620",
|
|||
X"63617274",
|
|||
X"72696467",
|
|||
X"65210000",
|
|||
X"41353200",
|
|||
X"43415200",
|
|||
X"42494e00",
|
|||
X"31366b20",
|
|||
X"63617274",
|
|||
X"20747970",
|
|||
X"65000000",
|
|||
X"4c656674",
|
|||
X"20666f72",
|
|||
X"206f6e65",
|
|||
X"20636869",
|
|||
X"70000000",
|
|||
X"52696768",
|
|||
X"7420666f",
|
|||
X"72207477",
|
|||
X"6f206368",
|
|||
X"69700000",
|
|||
177 | markw | X"53650000",
|
|
X"7474696e",
|
|||
X"67730000",
|
|||
X"54757262",
|
|||
X"6f3a2564",
|
|||
X"78000000",
|
|||
X"52616d3a",
|
|||
X"25730000",
|
|||
X"526f6d3a",
|
|||
X"25730000",
|
|||
252 | markw | X"4e4f4e45",
|
|
244 | markw | X"00000000",
|
|
X"43617274",
|
|||
252 | markw | X"3a257300",
|
|
177 | markw | X"45786974",
|
|
X"00000000",
|
|||
X"35323030",
|
|||
X"2e726f6d",
|
|||
X"00000000",
|
|||
198 | markw | X"524f4d00",
|
|
351 | markw | X"4d454d00",
|
|
177 | markw | X"00000000",
|
|
X"00000000",
|
|||
198 | markw | X"01010008",
|
|
X"02210010",
|
|||
X"080d0040",
|
|||
X"090a0040",
|
|||
X"0a090040",
|
|||
X"0b080040",
|
|||
X"0c300020",
|
|||
X"0d310040",
|
|||
X"0e320080",
|
|||
X"0f040010",
|
|||
X"110c0080",
|
|||
X"17330100",
|
|||
X"18340200",
|
|||
X"1a280010",
|
|||
X"1b290020",
|
|||
X"1c2a0040",
|
|||
X"1d2b0080",
|
|||
X"1e2c0100",
|
|||
X"1f2d0200",
|
|||
X"21380020",
|
|||
X"22390040",
|
|||
X"233a0080",
|
|||
X"243b0100",
|
|||
X"253c0200",
|
|||
X"28230010",
|
|||
X"29020080",
|
|||
X"2a030400",
|
|||
X"38240200",
|
|||
X"00000000",
|
|||
210 | markw | X"00000000",
|
|
177 | markw | X"2f617461",
|
|
241 | markw | X"72353230",
|
|
X"302f726f",
|
|||
X"6d000000",
|
|||
177 | markw | X"2f617461",
|
|
241 | markw | X"72353230",
|
|
X"302f7573",
|
|||
X"65720000",
|
|||
177 | markw | X"00000000",
|
|
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
346 | markw | X"00000000",
|
|
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
310 | markw | X"00000000",
|
|
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
177 | markw | X"00000000",
|
|
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000",
|
|||
X"00000000"
|
|||
);
|
|||
signal rdata:std_logic_vector(31 downto 0);
|
|||
begin
|
|||
rdata<=ROM(conv_integer(address));
|
|||
process(clock)
|
|||
begin
|
|||
if(clock'event and clock='1')then
|
|||
q<=rdata;
|
|||
end if;
|
|||
end process;
|
|||
end syn;
|