Project

General

Profile

« Previous | Next » 

Revision 363

Added by markw over 10 years ago

Now running on my board. Temporarily using papilio memory mapping - just 512KB.

View differences:

aeon_lite/Aeon.prj
vhdl work "ps2_to_atari800.vhdl"
vhdl work "pll.vhd"
vhdl work "nes_gamepad.vhd"
vhdl work "dac.vhd"
verilog work "hq_dac.v"
vhdl work "atari800core_simple_sdram.vhd"
vhdl work "atari.vhd"
aeon_lite/Aeon.ucf
#NET "COMM_RDY" LOC = P39 | IOSTANDARD = LVTTL;
# SD-Card & SPI-Flash
NET "SD_MOSI" LOC = P41 | IOSTANDARD = LVTTL;
NET "SD_MISO" LOC = P38 | IOSTANDARD = LVTTL;
NET "SD_SCK" LOC = P40 | IOSTANDARD = LVTTL;
NET "SD_CS" LOC = P44 | IOSTANDARD = LVTTL;
aeon_lite/atari.vhd
---------------------------------------------------------------------------
-- New Files:
-- DAC.VHD - Delta-Sigma-DAC from Xilinx Appnotes
-- NES-GAMEPAD.VHD - NES-Gamepad controller
-- SRAM-STATEMACHINE.VHD - SRAM (2 x 256KB x 16bit) Controller
......
use IEEE.NUMERIC_STD.ALL;
entity ATARI is
GENERIC
(
TV : integer; -- 1 = PAL, 0=NTSC
VIDEO : integer; -- 1 = RGB, 2 = VGA
COMPOSITE_SYNC : integer; --0 = no, 1 = yes!
SCANDOUBLE : integer; -- 1 = YES, 0=NO, (+ later scanlines etc)
internal_rom : integer := 1 ;
--internal_ram : integer := 16384;
internal_ram : integer := 0;
ext_clock : integer := 0
);
port (
CLK_50 : in std_logic;
MCU_READY : in std_logic;
......
JOY_DATA0 : in std_logic;
JOY_DATA1 : in std_logic;
SD_MOSI : out std_logic;
SD_MISO : in std_logic;
SD_SCK : out std_logic;
SD_CS : out std_logic;
......
architecture rtl of ATARI is
component hq_dac
port (
reset :in std_logic;
clk :in std_logic;
clk_ena : in std_logic;
pcm_in : in std_logic_vector(19 downto 0);
dac_out : out std_logic
);
end component;
signal CLK : std_logic;
signal LOCKED : std_logic;
signal RESET_N : std_logic;
......
alias EMULATED_CARTRIDGE_SELECT : std_logic_vector(5 downto 0) is ZPU_OUT1(22 downto 17);
alias FREEZER_ENABLE : std_logic is ZPU_OUT1(25);
alias RAM_SELECT : std_logic_vector(2 downto 0) is ZPU_OUT1(10 downto 8);
alias ROM_SELECT : std_logic_vector(5 downto 0) is ZPU_OUT1(16 downto 11);
-- signal PAUSE_ATARI : std_logic;
-- signal RESET_ATARI : std_logic;
-- signal SPEED_6502 : std_logic_vector(5 downto 0);
-- signal EMULATED_CARTRIDGE_SELECT : std_logic_vector(5 downto 0);
-- signal FREEZER_ENABLE : std_logic;
-- signal RAM_SELECT : std_logic_vector(2 downto 0);
signal FREEZER_ACTIVATE : std_logic;
signal reset_n_inc_zpu : std_logic;
signal zpu_in1 : std_logic_vector(31 downto 0);
signal audio_out : std_logic;
begin
u_PLL : entity work.PLL
......
CLKOUT2 => SCANDOUBLE_CLK,
LOCKED => LOCKED );
u_DAC_L : entity work.dac
port map (
CLK_I => CLK,
RES_N_I => RESET_N,
DAC_I => AUDIO_L_PCM,
DAC_O => SOUND_L );
dac : hq_dac
port map
(
reset => not(reset_n),
clk => clk,
clk_ena => '1',
pcm_in => AUDIO_L_PCM&"0000",
dac_out => audio_out
);
u_DAC_R : entity work.dac
port map (
CLK_I => CLK,
RES_N_I => RESET_N,
DAC_I => AUDIO_R_PCM,
DAC_O => SOUND_R );
SOUND_L <= audio_out;
SOUND_R <= audio_out;
u_KEYBOARD : entity work.ps2_to_atari800
port map(
......
INTERNAL_RAM => 0,
PALETTE => 0,
VIDEO_BITS => 8,
LOW_MEMORY => 1,
LOW_MEMORY => 2,
STEREO => 0,
COVOX => 0 )
port map(
......
DMA_MEMORY_DATA => DMA_MEMORY_DATA,
RAM_SELECT => RAM_SELECT,
ROM_SELECT => ROM_SELECT,
PAL => PAL,
HALT => PAUSE_ATARI,
THROTTLE_COUNT_6502 => SPEED_6502,
EMULATED_CARTRIDGE_SELECT => EMULATED_CARTRIDGE_SELECT,
FREEZER_ENABLE => FREEZER_ENABLE,
FREEZER_ACTIVATE => FREEZER_ACTIVATE);
FREEZER_ENABLE => '0',
FREEZER_ACTIVATE => '0');
u_SRAM : entity work.sram_statemachine
......
CLK => CLK,
RESET_N => reset_n_inc_zpu,
--ADDRESS_IN => "000"&NOT(SDRAM_ADDR(19))&SDRAM_ADDR(18 downto 0),
--ADDRESS_IN => "000"&NOT(SDRAM_ADDR(15))&SDRAM_ADDR(19 downto 16)&SDRAM_ADDR(14 downto 0),
ADDRESS_IN => SDRAM_ADDR,
DATA_IN => SDRAM_DI,
DATA_OUT => SDRAM_DO,
......
ZPU_SD_DAT0 => SD_MISO,
ZPU_SD_CLK => SD_SCK,
ZPU_SD_CMD => open,
ZPU_SD_CMD => SD_MOSI,
ZPU_SD_DAT3 => SD_CS,
ZPU_POKEY_ENABLE => ZPU_POKEY_ENABLE,
......
ZPU_OUT2 => OPEN,
ZPU_OUT3 => OPEN,
ZPU_OUT4 => OPEN );
zpu_in1 <= X"00000" & FKEYS;
ZPU_IN1 <= X"000"& "00"&ps2_keys(16#76#)&ps2_keys(16#5A#)&ps2_keys(16#174#)&ps2_keys(16#16B#)&ps2_keys(16#172#)&ps2_keys(16#175#)&FKEYS;
u_ZPUROM : entity work.zpu_rom
port map (
......
VSYNC => VGA_VSYNC,
HSYNC => VGA_HSYNC );
RESET_N <= LOCKED and MCU_READY;
RESET_N <= LOCKED; -- and MCU_READY;
-- NES Gamepad 1 & Cursor keys on keyboard
JOY1_n <= (not GAMEPAD0(7) and not GAMEPAD0(6) and not PS2_KEYS(16#014#)) &
JOY1_n <= (not GAMEPAD0(7) and not GAMEPAD0(6) and not PS2_KEYS(16#127#)) &
(not GAMEPAD0(0) and not PS2_KEYS(16#174#)) &
(not GAMEPAD0(1) and not PS2_KEYS(16#16B#)) &
(not GAMEPAD0(2) and not PS2_KEYS(16#172#)) &
aeon_lite/build.sh
#!/bin/bash
name=Aeon
args=$@
shift
. /home/markw/fpga/xilinx/14.7/ISE_DS/settings64.sh
mkdir -p build
pushd build
export XILINX_DSP
export LD_LIBRARY_PATH
export XILINX_EDK
export PATH
export XILINX_PLANAHEAD
export XILINX
# copy source files
cp -p ../pll/* .
cp -p ../../common/a8core/*.vhd .
cp -p ../../common/a8core/*.vhdl .
cp -p ../../common/components/*.vhd .
cp -p ../../common/components/*.vhdl .
cp -p ../../common/zpu/*.vhd .
cp -p ../../common/zpu/*.vhdl .
#rm -f delay_line.vhdl
cp -p ../*.vhd .
cp -p ../*.vhdl .
cp -p ../*.xst .
which xst
cp -p ../$name.ucf .
cp -p ../$name.ut .
cp -p ../$name.scr .
cp -p ../$name.prj .
./build.pl ${args}
mkdir -p xst/projnav.tmp/
echo $name
echo "Starting Synthesis"
xst -intstyle ise -ifn $name.xst -ofn $name.syr
echo "Starting NGD"
ngdbuild -intstyle ise -uc $name.ucf -dd _ngo -nt timestamp -p xc6slx9-tqg144-3 $name.ngc $name.ngd
echo "Starting Map..."
map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -detail -ir off -pr off -lc off -power off -o $name_map.ncd $name.ngd $name.pcf
echo "Starting Place & Route..."
par -w -intstyle ise -ol high -mt off $name_map.ncd $name.ncd $name.pcf
echo "Starting Timing Analysis..."
trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml $name.twx $name.ncd -o $name.twr $name.pcf
echo "Starting Bitgen..."
bitgen -intstyle ise -f $name.ut $name.ncd
popd
aeon_lite/zpu_rom.vhdl
X"00000000",
X"00000000",
X"71fc0608",
X"0b0b80f4",
X"fc738306",
X"0b0b80f5",
X"f0738306",
X"10100508",
X"060b0b0b",
X"88a20400",
......
X"00000000",
X"00000000",
X"00000000",
X"810b80f9",
X"c00c5104",
X"810b80fa",
X"b40c5104",
X"00000000",
X"00000000",
X"00000000",
......
X"83e08008",
X"83e08408",
X"83e08808",
X"757580f2",
X"bb2d5050",
X"757580f3",
X"b22d5050",
X"83e08008",
X"5683e088",
X"0c83e084",
......
X"e0800883",
X"e0840883",
X"e0880875",
X"7580f1fa",
X"7580f2f1",
X"2d505083",
X"e0800856",
X"83e0880c",
......
X"51040000",
X"800489aa",
X"0489aa0b",
X"80dcbe04",
X"80ddb504",
X"fd3d0d75",
X"705254ad",
X"b23f83e0",
X"705254ae",
X"a83f83e0",
X"80081453",
X"72742e92",
X"38ff1370",
......
X"0d04800b",
X"83e2c008",
X"248a38b4",
X"833fff0b",
X"f93fff0b",
X"83e2c00c",
X"800b83e0",
X"800c04ff",
......
X"f00c7583",
X"e2c00c74",
X"53765278",
X"51b2b43f",
X"51b3aa3f",
X"83e08008",
X"83e2f008",
X"1683e2f0",
......
X"e0800c04",
X"fd3d0d75",
X"77715470",
X"535553a9",
X"8a3f82c8",
X"535553aa",
X"803f82c8",
X"1308bc15",
X"0c82c013",
X"0880c015",
......
X"53fcbf3f",
X"72802ea5",
X"38bc1308",
X"527351a8",
X"943f83e0",
X"527351a9",
X"8a3f83e0",
X"80088f38",
X"77527251",
X"ff9a3f83",
......
X"0b83e2c0",
X"0c7483e0",
X"a00c7583",
X"e2bc0cae",
X"e83f83e0",
X"e2bc0caf",
X"de3f83e0",
X"800881ff",
X"06528153",
X"71993883",
......
X"09810691",
X"3880c016",
X"5280c017",
X"51a6853f",
X"51a6fb3f",
X"83e08008",
X"557483e0",
X"800c883d",
......
X"3d0d7b7d",
X"5a5a82d0",
X"5283e2bc",
X"085180e0",
X"fd3f83e0",
X"085180e1",
X"f43f83e0",
X"800857f9",
X"e13f7952",
X"83e2c451",
......
X"09810682",
X"833883e0",
X"a0080b0b",
X"80f6bc53",
X"705256a5",
X"c23f0b0b",
X"80f6bc52",
X"80f7b053",
X"705256a6",
X"b83f0b0b",
X"80f7b052",
X"80c01651",
X"a5b53f75",
X"a6ab3f75",
X"bc170c73",
X"82c0170c",
X"810b82c4",
......
X"e0ac3381",
X"0682c815",
X"0c795273",
X"51a4dc3f",
X"7351a4f3",
X"51a5d23f",
X"7351a5e9",
X"3f83e080",
X"081453af",
X"73708105",
X"553472bc",
X"150c83e0",
X"ad527251",
X"a4bd3f83",
X"a5b33f83",
X"e0a40882",
X"c0150c83",
X"e0ba5280",
X"c01451a4",
X"aa3f7880",
X"c01451a5",
X"a03f7880",
X"2e8d3873",
X"51782d83",
X"e0800880",
......
X"76882a8c",
X"17080552",
X"893dfc05",
X"51a9963f",
X"51aa8c3f",
X"83e08008",
X"80df3802",
X"9d053389",
......
X"76872a8c",
X"17080552",
X"893dfc05",
X"51a8e63f",
X"51a9dc3f",
X"83e08008",
X"b038029f",
X"05330284",
......
X"5affab39",
X"76982b55",
X"74802587",
X"3880f48c",
X"3880f580",
X"173357ff",
X"9f175574",
X"99268938",
......
X"70852b83",
X"e0065455",
X"901b0852",
X"7c51a3a1",
X"7c51a497",
X"3f83e080",
X"085783e0",
X"80088181",
......
X"7a7c5957",
X"825483fe",
X"53775276",
X"51a1e63f",
X"51a2dc3f",
X"835683e0",
X"800880ec",
X"38811733",
......
X"810680d4",
X"387554b6",
X"53775276",
X"51a1ba3f",
X"51a2b03f",
X"83e08008",
X"98388117",
X"33773371",
......
X"82c62eac",
X"38825480",
X"d2537752",
X"7651a191",
X"7651a287",
X"3f83e080",
X"08983881",
X"17337733",
......
X"04eb3d0d",
X"675a800b",
X"83e0900c",
X"a0b33f83",
X"a1a93f83",
X"e0800881",
X"06558256",
X"7483ef38",
......
X"810680d4",
X"38905483",
X"be537452",
X"7551a0a5",
X"7551a19b",
X"3f83e080",
X"0880c938",
X"8f3d3355",
......
X"86388656",
X"82e839a4",
X"548d5378",
X"5275519f",
X"bc3f8156",
X"527551a0",
X"b23f8156",
X"83e08008",
X"82d43802",
X"be053302",
......
X"79842a90",
X"3d335471",
X"71315356",
X"5680d1e2",
X"5680d2d9",
X"3f83e080",
X"08820570",
X"881c0c83",
......
X"a8160852",
X"79577b83",
X"387b5776",
X"5199e23f",
X"519ad83f",
X"83e08008",
X"fed03898",
X"16081598",
......
X"56537680",
X"2eb73874",
X"52ff1651",
X"80cce33f",
X"80cdda3f",
X"83e08008",
X"ff187654",
X"70535853",
X"80ccd33f",
X"80cdca3f",
X"83e08008",
X"73269638",
X"74307078",
......
X"2b83e006",
X"5458901e",
X"08527851",
X"95eb3f83",
X"96e13f83",
X"e0800841",
X"83e08008",
X"ffb83878",
......
X"80603475",
X"802e8838",
X"7c527f51",
X"8d913f60",
X"8e873f60",
X"802e8638",
X"800b901f",
X"0c605660",
......
X"1f335574",
X"8a38891f",
X"52961f51",
X"8b9d3f75",
X"8c933f75",
X"83e0800c",
X"9e3d0d04",
X"f93d0d80",
X"0b83e080",
X"0c893d0d",
X"04fc3d0d",
X"76705255",
X"8b993f83",
X"e0800815",
X"ff055473",
X"752e8e38",
X"73335372",
X"ae2e8638",
X"ff1454ef",
X"39775281",
X"14518ab1",
X"f43d0d7e",
X"8f3dec11",
X"56565890",
X"53f01552",
X"7751e0d2",
X"3f83e080",
X"08307083",
X"e0800807",
X"802583e0",
X"800c5386",
X"0880d738",
X"78902e09",
X"810680ce",
X"3802ab05",
X"3380fabc",
X"0b80fabc",
X"33575856",
X"8c397476",
X"2e8a3884",
X"17703356",
X"5774f338",
X"76337057",
X"5574802e",
X"ad388217",
X"22708a2b",
X"903dec05",
X"56705556",
X"56848880",
X"80527751",
X"e0803f83",
X"e0800886",
X"3878752e",
X"85388056",
X"85398117",
X"33567583",
X"e0800c8e",
X"3d0d04fc",
X"3d0d7670",
X"5255e7e3",
X"52558b99",
X"3f83e080",
X"08548153",
X"83e08008",
X"80c13874",
X"51e7a63f",
X"83e08008",
X"80f6cc53",
X"83e08008",
X"5253ff91",
X"0815ff05",
X"5473752e",
X"8e387333",
X"5372ae2e",
X"8638ff14",
X"54ef3977",
X"52811451",
X"8ab13f83",
X"e0800830",
X"7083e080",
X"08078025",
X"83e0800c",
X"53863d0d",
X"04fc3d0d",
X"76705255",
X"e6ed3f83",
X"e0800854",
X"815383e0",
X"800880c1",
X"387451e6",
X"b03f83e0",
X"800880f7",
X"c05383e0",
X"80085253",
X"ff913f83",
X"e08008a1",
X"3880f7c4",
X"527251ff",
X"823f83e0",
X"80089238",
X"80f7c852",
X"7251fef3",
X"3f83e080",
X"08a13880",
X"f6d05272",
X"51ff823f",
X"83e08008",
X"923880f6",
X"d4527251",
X"fef33f83",
X"e0800880",
X"2e833881",
X"54735372",
X"08802e83",
X"38815473",
X"537283e0",
X"800c863d",
X"0d04fd3d",
X"0d757052",
X"54e68c3f",
X"815383e0",
X"80089838",
X"7351e5d5",
X"3f83e388",
X"085283e0",
X"800851fe",
X"ba3f83e0",
X"80085372",
X"83e0800c",
X"863d0d04",
X"fd3d0d75",
X"705254e7",
X"823f8153",
X"853d0d04",
X"df3d0da4",
X"3d087052",
X"5edbfa3f",
X"83e08008",
X"98387351",
X"e6cb3f83",
X"e3880852",
X"33953d56",
X"54739638",
X"80fdf852",
X"74518991",
X"3f9a397d",
X"527851de",
X"fb3f84d0",
X"397d51db",
X"e03f83e0",
X"80085274",
X"51db903f",
X"80438042",
X"80418040",
X"83e39008",
X"52943d70",
X"525de1e3",
X"3f83e080",
X"0859800b",
X"83e08008",
X"51feba3f",
X"83e08008",
X"537283e0",
X"800c853d",
X"0d04df3d",
X"0da43d08",
X"70525edc",
X"f03f83e0",
X"80083395",
X"3d565473",
X"963880fd",
X"84527451",
X"89913f9a",
X"397d5278",
X"51dff13f",
X"84d0397d",
X"51dcd63f",
X"83e08008",
X"527451dc",
X"863f8043",
X"80428041",
X"804083e3",
X"90085294",
X"3d70525d",
X"e2d93f83",
X"e0800859",
X"800b83e0",
X"8008555b",
X"83e08008",
X"7b2e9438",
X"811b7452",
X"5be5db3f",
X"83e08008",
X"5483e080",
X"08ee3880",
X"5aff5f79",
X"09709f2c",
X"7b065b54",
X"7a7a2484",
X"38ff1b5a",
X"f61a7009",
X"709f2c72",
X"067bff12",
X"5a5a5255",
X"55807525",
X"95387651",
X"e5a03f83",
X"e0800876",
X"ff185855",
X"57738024",
X"ed38747f",
X"2e8638a1",
X"b83f745f",
X"78ff1b70",
X"585d5880",
X"7a259538",
X"7751e4f6",
X"555b83e0",
X"80087b2e",
X"9438811b",
X"74525be4",
X"e53f83e0",
X"80085483",
X"e08008ee",
X"38805aff",
X"5f790970",
X"9f2c7b06",
X"5b547a7a",
X"248438ff",
X"1b5af61a",
X"7009709f",
X"2c72067b",
X"ff125a5a",
X"52555580",
X"75259538",
X"7651e4aa",
X"3f83e080",
X"0876ff18",
X"58555873",
X"58555773",
X"8024ed38",
X"747f2e86",
X"38a1b93f",
X"745f78ff",
X"1b70585d",
X"58807a25",
X"95387751",
X"e4803f83",
X"e0800876",
X"ff185855",
X"58738024",
X"ed38800b",
X"83e7c00c",
X"800b83e7",
X"c00c800b",
X"e40c80f7",
X"cc518d90",
X"3f81800b",
X"83e7e40c",
X"80f6d851",
X"8d903f81",
X"800b83e7",
X"e40c80f6",
X"e0518d82",
X"3fa80b83",
X"e7c00c76",
X"802e80e4",
X"3883e7c0",
X"08777932",
X"70307072",
X"07802570",
X"872b83e7",
X"e40c5156",
X"78535656",
X"e4ad3f83",
X"e0800880",
X"2e883880",
X"f6e8518c",
X"c93f7651",
X"e3ef3f83",
X"e0800852",
X"80f88851",
X"8cb83f76",
X"51e3f73f",
X"83e08008",
X"83e7c008",
X"55577574",
X"258638a8",
X"1656f739",
X"7583e7c0",
X"0c86f076",
X"24ff9838",
X"87980b83",
X"e7c00c77",
X"802eb138",
X"7751e3ad",
X"80f7d451",
X"8d823fa8",
X"0b83e7c0",
X"0c76802e",
X"80e43883",
X"e7c00877",
X"79327030",
X"70720780",
X"2570872b",
X"83e7e40c",
X"51567853",
X"5656e3b7",
X"3f83e080",
X"08785255",
X"e3cd3f80",
X"f6f05483",
X"e080088d",
X"38873980",
X"763481d0",
X"3980f6ec",
X"54745373",
X"5280f6c0",
X"518bd73f",
X"805480f6",
X"c8518bce",
X"3f811454",
X"73a82e09",
X"8106ef38",
X"868da051",
X"9dab3f80",
X"52903d70",
X"525780c3",
X"aa3f8352",
X"765180c3",
X"a23f6281",
X"8f386180",
X"2e80fb38",
X"7b5473ff",
X"2e963878",
X"802e818a",
X"387851e2",
X"d13f83e0",
X"8008ff15",
X"5559e739",
X"78802e80",
X"f5387851",
X"e2cd3f83",
X"e0800880",
X"2efc8e38",
X"7851e295",
X"08802e88",
X"3880f7dc",
X"518cc93f",
X"7651e2f9",
X"3f83e080",
X"085280f6",
X"bc5183e4",
X"085280f8",
X"fc518cb8",
X"3f7651e3",
X"813f83e0",
X"800883e7",
X"c0085557",
X"75742586",
X"38a81656",
X"f7397583",
X"e7c00c86",
X"f07624ff",
X"98388798",
X"0b83e7c0",
X"0c77802e",
X"b1387751",
X"e2b73f83",
X"e0800878",
X"5255e2d7",
X"3f80f7e4",
X"5483e080",
X"088d3887",
X"39807634",
X"81d03980",
X"f7e05474",
X"53735280",
X"f7b4518b",
X"d73f8054",
X"80f7bc51",
X"8bce3f81",
X"145473a8",
X"2e098106",
X"ef38868d",
X"a0519dac",
X"3f805290",
X"3d705257",
X"80c3ab3f",
X"83527651",
X"80c3a33f",
X"62818f38",
X"61802e80",
X"fb387b54",
X"73ff2e96",
X"3878802e",
X"818a3878",
X"51e1db3f",
X"83e08008",
X"ff155559",
X"e7397880",
X"2e80f538",
X"7851e1d7",
X"3f83e080",
X"08a3387c",
X"51859c3f",
X"08802efc",
X"8e387851",
X"e19f3f83",
X"e0800852",
X"80f7b051",
X"83e43f83",
X"e08008a3",
X"387c5185",
X"9c3f83e0",
X"80085574",
X"ff165654",
X"807425ae",
X"38741d70",
X"33555673",
X"af2efecd",
X"38e93978",
X"51e0e03f",
X"83e08008",
X"5574ff16",
X"56548074",
X"25ae3874",
X"1d703355",
X"5673af2e",
X"fecd38e9",
X"397851e1",
X"d63f83e0",
X"8008527c",
X"5184d43f",
X"8f397f88",
X"29601005",
X"7a056105",
X"5afc9039",
X"62802efb",
X"d1388052",
X"765180c2",
X"823fa33d",
X"527c5184",
X"d43f8f39",
X"7f882960",
X"10057a05",
X"61055afc",
X"90396280",
X"2efbd138",
X"80527651",
X"80c2833f",
X"a33d0d04",
X"803d0d90",
X"88b83370",
X"81ff0670",
X"842a8132",
X"70810651",
X"51515170",
X"802e8d38",
X"a80b9088",
X"b834b80b",
X"9088b834",
X"7083e080",
X"0c823d0d",
X"04803d0d",
X"9088b833",
X"7081ff06",
X"70852a81",
X"32708106",
X"51515151",
X"70802e8d",
X"38980b90",
X"88b834b8",
X"0b9088b8",
X"347083e0",
X"800c823d",
X"0d04930b",
X"9088bc34",
X"ff0b9088",
X"a83404ff",
X"3d0d028f",
X"05335280",
X"0b9088bc",
X"348a519a",
X"f33fdf3f",
X"80f80b90",
X"88a03480",
X"0b908888",
X"34fa1252",
X"71908880",
X"34800b90",
X"88983471",
X"90889034",
X"9088b852",
X"807234b8",
X"7234833d",
X"0d04803d",
X"0d028b05",
X"33517090",
X"88b434fe",
X"bf3f83e0",
X"8008802e",
X"f638823d",
X"0d04803d",
X"0d8439a6",
X"b03ffed9",
X"3f83e080",
X"08802ef3",
X"389088b4",
X"337081ff",
X"0683e080",
X"0c51823d",
X"0d04803d",
X"0da30b90",
X"88bc34ff",
X"0b9088a8",
X"349088b8",
X"51a87134",
X"b8713482",
X"3d0d0480",
X"3d0d9088",
X"bc337081",
X"c0067030",
X"70802583",
X"e0800c51",
X"5151823d",
X"0d04803d",
X"0d9088b8",
X"337081ff",
X"0670842a",
X"0670832a",
X"81327081",
X"06515151",
X"5170802e",
X"8d38a80b",
X"e838b00b",
X"9088b834",
X"b80b9088",
X"b8347083",
X"b834823d",
X"0d04803d",
X"0d9080ac",
X"08810683",
X"e0800c82",
X"3d0d0480",
X"3d0d9088",
X"b8337081",
X"ff067085",
X"2a813270",
X"81065151",
X"51517080",
X"2e8d3898",
X"0b9088b8",
X"34b80b90",
X"88b83470",
X"83e0800c",
X"823d0d04",
X"930b9088",
X"bc34ff0b",
X"9088a834",
X"04ff3d0d",
X"028f0533",
X"52800b90",
X"88bc348a",
X"519af23f",
X"df3f80f8",
X"0b9088a0",
X"34800b90",
X"888834fa",
X"12527190",
X"88803480",
X"0b908898",
X"34719088",
X"90349088",
X"b8528072",
X"34b87234",
X"833d0d04",
X"803d0d02",
X"8b053351",
X"709088b4",
X"34febf3f",
X"83e08008",
X"802ef638",
X"823d0d04",
X"803d0d84",
X"39a6af3f",
X"fed93f83",
X"e0800880",
X"2ef33890",
X"88b43370",
X"81ff0683",
X"e0800c51",
X"823d0d04",
X"803d0da3",
X"0b9088bc",
X"34ff0b90",
X"88a83490",
X"88b851a8",
X"7134b871",
X"34823d0d",
X"04803d0d",
X"9088bc33",
X"7081c006",
X"70307080",
X"2583e080",
X"0c515151",
X"823d0d04",
X"803d0d90",
X"88b83370",
X"81ff0670",
X"832a8132",
X"70810651",
X"51515170",
X"802ee838",
X"b00b9088",
X"b834b80b",
X"9088b834",
X"823d0d04",
X"803d0d90",
X"80ac0881",
X"0683e080",
X"0c823d0d",
X"04fd3d0d",
X"75775454",
X"80732594",
X"38737081",
X"05553352",
X"80f6f451",
X"87843fff",
X"1353e939",
X"853d0d04",
X"fd3d0d75",
X"77535473",
X"33517089",
X"38713351",
X"70802ea1",
X"38733372",
X"33525372",
X"71278538",
X"ff519439",
X"70732785",
X"3881518b",
X"39811481",
X"135354d3",
X"39805170",
X"83e0800c",
X"853d0d04",
X"fd3d0d75",
X"77545472",
X"337081ff",
X"06525270",
X"802ea338",
X"7181ff06",
X"8114ffbf",
X"12535452",
X"70992689",
X"38a01270",
X"81ff0653",
X"51717470",
X"81055634",
X"d2398074",
X"34853d0d",
X"04ffbd3d",
X"0d80c63d",
X"0852a53d",
X"705254ff",
X"b33f80c7",
X"3d085285",
X"3d705253",
X"ffa63f72",
X"527351fe",
X"df3f80c5",
X"3d0d04fe",
X"3d0d7476",
X"53537170",
X"81055333",
X"51707370",
X"81055534",
X"70f03884",
X"3d0d04fe",
X"3d0d7452",
X"80723352",
X"5370732e",
X"8d388112",
X"81147133",
X"53545270",
X"f5387283",
X"e0800c84",
X"3d0d04f6",
X"3d0d7c7e",
X"60625a5d",
X"5b568059",
X"81558539",
X"747a2955",
X"74527551",
X"baac3f83",
X"e080087a",
X"27ee3874",
X"802e80dd",
X"38745275",
X"51ba973f",
X"83e08008",
X"75537652",
X"54ba9b3f",
X"83e08008",
X"7a537552",
X"56b9ff3f",
X"83e08008",
X"7930707b",
X"079f2a70",
X"77802407",
X"51515455",
X"72873883",
X"e08008c5",
X"38768118",
X"b0165558",
X"58897425",
X"8b38b714",
X"537a8538",
X"80d71453",
X"72783481",
X"1959ff9f",
X"39807734",
X"8c3d0d04",
X"f73d0d7b",
X"7d7f6202",
X"9005bb05",
X"33575956",
X"5a5ab058",
X"728338a0",
X"58757070",
X"81055233",
X"71595455",
X"90398074",
X"258e38ff",
X"14777081",
X"05593354",
X"5472ef38",
X"73ff1555",
X"53807325",
X"89387752",
X"3d0d04fd",
X"3d0d7577",
X"54548073",
X"25943873",
X"70810555",
X"335280f7",
X"e8518784",
X"3fff1353",
X"e939853d",
X"0d04fd3d",
X"0d757753",
X"54733351",
X"70893871",
X"33517080",
X"2ea13873",
X"33723352",
X"53727127",
X"8538ff51",
X"94397073",
X"27853881",
X"518b3981",
X"14811353",
X"54d33980",
X"517083e0",
X"800c853d",
X"0d04fd3d",
X"0d757754",
X"54723370",
X"81ff0652",
X"5270802e",
X"a3387181",
X"ff068114",
X"ffbf1253",
X"54527099",
X"268938a0",
X"127081ff",
X"06535171",
X"74708105",
X"5634d239",
X"80743485",
X"3d0d04ff",
X"bd3d0d80",
X"c63d0852",
X"a53d7052",
X"54ffb33f",
X"80c73d08",
X"52853d70",
X"5253ffa6",
X"3f725273",
X"51fedf3f",
X"80c53d0d",
X"04fe3d0d",
X"74765353",
X"71708105",
X"53335170",
X"73708105",
X"553470f0",
X"38843d0d",
X"04fe3d0d",
X"74528072",
X"33525370",
X"732e8d38",
X"81128114",
X"71335354",
X"5270f538",
X"7283e080",
X"0c843d0d",
X"04f63d0d",
X"7c7e6062",
X"5a5d5b56",
X"80598155",
X"8539747a",
X"29557452",
X"7551baad",
X"3f83e080",
X"087a27ee",
X"3874802e",
X"80dd3874",
X"527551ba",
X"983f83e0",
X"80087553",
X"765254ba",
X"9c3f83e0",
X"80087a53",
X"755256ba",
X"803f83e0",
X"80087930",
X"707b079f",
X"2a707780",
X"24075151",
X"54557287",
X"3883e080",
X"08c53876",
X"8118b016",
X"55585889",
X"74258b38",
X"b714537a",
X"853880d7",
X"14537278",
X"34811959",
X"ff9f3980",
X"77348c3d",
X"0d04f73d",
X"0d7b7d7f",
X"62029005",
X"bb053357",
X"59565a5a",
X"b0587283",
X"38a05875",
X"70708105",
X"52337159",
X"54559039",
X"8074258e",
X"38ff1477",
X"70810559",
X"33545472",
X"ef3873ff",
X"15555380",
X"73258938",
X"77527951",
X"782def39",
X"75337557",
X"5372802e",
X"90387252",
X"7951782d",
X"ef397533",
X"75575372",
X"802e9038",
X"72527951",
X"782d7570",
X"81055733",
X"53ed398b",
X"3d0d04ee",
X"3d0d6466",
X"69697070",
X"75708105",
X"573353ed",
X"398b3d0d",
X"04ee3d0d",
X"64666969",
X"70708105",
X"52335b4a",
X"5c5e5e76",
X"802e82f9",
X"3876a52e",
X"09810682",
X"e0388070",
X"41677070",
X"81055233",
X"5b4a5c5e",
X"5e76802e",
X"82f93876",
X"a52e0981",
X"0682e038",
X"80704167",
X"70708105",
X"5233714a",
X"59575f76",
X"b02e0981",
X"068c3875",
X"70810557",
X"33764857",
X"815fd017",
X"56758926",
X"80da3876",
X"675c5980",
X"5c933977",
X"8a2480c3",
X"387b8a29",
X"187b7081",
X"055d335a",
X"5cd01970",
X"81ff0658",
X"58897727",
X"a438ff9f",
X"714a5957",
X"5f76b02e",
X"0981068c",
... This diff was truncated because it exceeds the maximum size that can be displayed.

Also available in: Unified diff