Revision 346
Added by markw over 10 years ago
aeon_lite/atari.vhd | ||
---|---|---|
signal VIDEO_B : std_logic_vector(7 downto 0);
|
||
signal VIDEO_VS : std_logic;
|
||
signal VIDEO_HS : std_logic;
|
||
signal VIDEO_CS : std_logic;
|
||
|
||
signal PAL : std_logic := '0';
|
||
signal VGA : std_logic := '1';
|
||
... | ... | |
|
||
VIDEO_VS => VIDEO_VS,
|
||
VIDEO_HS => VIDEO_HS,
|
||
VIDEO_CS => VIDEO_CS,
|
||
VIDEO_B => VIDEO_B,
|
||
VIDEO_G => VIDEO_G,
|
||
VIDEO_R => VIDEO_R,
|
||
... | ... | |
COLOUR_IN => VIDEO_B,
|
||
VSYNC_IN => VIDEO_VS,
|
||
HSYNC_IN => VIDEO_HS,
|
||
CSYNC_IN => VIDEO_CS,
|
||
|
||
R => VGA_R,
|
||
G => VGA_G,
|
mcctv_5200/zpu_rom.vhdl | ||
---|---|---|
X"00000000",
|
||
X"71fc0608",
|
||
X"0b0b81ca",
|
||
X"b8738306",
|
||
X"84738306",
|
||
X"10100508",
|
||
X"060b0b0b",
|
||
X"88a20400",
|
||
... | ... | |
X"00000000",
|
||
X"00000000",
|
||
X"810b81d1",
|
||
X"c80c5104",
|
||
X"940c5104",
|
||
X"00000000",
|
||
X"00000000",
|
||
X"00000000",
|
||
... | ... | |
X"83c08408",
|
||
X"83c08808",
|
||
X"757581c4",
|
||
X"e62d5050",
|
||
X"b32d5050",
|
||
X"83c08008",
|
||
X"5683c088",
|
||
X"0c83c084",
|
||
... | ... | |
X"c0800883",
|
||
X"c0840883",
|
||
X"c0880875",
|
||
X"7581c2fa",
|
||
X"7581c2c7",
|
||
X"2d505083",
|
||
X"c0800856",
|
||
X"83c0880c",
|
||
... | ... | |
X"51040000",
|
||
X"800489aa",
|
||
X"0489aa0b",
|
||
X"80de8f04",
|
||
X"80dde104",
|
||
X"fd3d0d75",
|
||
X"705254ae",
|
||
X"a43f83c0",
|
||
... | ... | |
X"5a5a82d0",
|
||
X"5283c2cc",
|
||
X"085181b1",
|
||
X"b73f83c0",
|
||
X"843f83c0",
|
||
X"800857f9",
|
||
X"e13f7952",
|
||
X"83c2d451",
|
||
... | ... | |
X"09810682",
|
||
X"833883c0",
|
||
X"b0080b0b",
|
||
X"81cfd453",
|
||
X"81cfa053",
|
||
X"705256a6",
|
||
X"b43f0b0b",
|
||
X"81cfd452",
|
||
X"81cfa052",
|
||
X"80c01651",
|
||
X"a6a73f75",
|
||
X"bc170c73",
|
||
... | ... | |
X"5affab39",
|
||
X"76982b55",
|
||
X"74802587",
|
||
X"3881c9c8",
|
||
X"3881c994",
|
||
X"173357ff",
|
||
X"9f175574",
|
||
X"99268938",
|
||
... | ... | |
X"79842a90",
|
||
X"3d335471",
|
||
X"71315356",
|
||
X"5681a29c",
|
||
X"5681a1e9",
|
||
X"3f83c080",
|
||
X"08820570",
|
||
X"881c0c83",
|
||
... | ... | |
X"56537680",
|
||
X"2eb73874",
|
||
X"52ff1651",
|
||
X"819d9d3f",
|
||
X"819cea3f",
|
||
X"83c08008",
|
||
X"ff187654",
|
||
X"70535853",
|
||
X"819d8d3f",
|
||
X"819cda3f",
|
||
X"83c08008",
|
||
X"73269638",
|
||
X"74307078",
|
||
... | ... | |
X"78902e09",
|
||
X"810680cd",
|
||
X"3802ab05",
|
||
X"3381d1d0",
|
||
X"0b81d1d0",
|
||
X"3381d19c",
|
||
X"0b81d19c",
|
||
X"33575856",
|
||
X"8c397476",
|
||
X"2e8a3884",
|
||
... | ... | |
X"0880c138",
|
||
X"7451e6b1",
|
||
X"3f83c080",
|
||
X"0881cfe4",
|
||
X"0881cfb0",
|
||
X"5383c080",
|
||
X"085253ff",
|
||
X"913f83c0",
|
||
X"8008a138",
|
||
X"81cfe852",
|
||
X"81cfb452",
|
||
X"7251ff82",
|
||
X"3f83c080",
|
||
X"08923881",
|
||
X"cfec5272",
|
||
X"cfb85272",
|
||
X"51fef33f",
|
||
X"83c08008",
|
||
X"802e8338",
|
||
... | ... | |
X"c0800833",
|
||
X"953d5654",
|
||
X"73963881",
|
||
X"d2ec5274",
|
||
X"d2b85274",
|
||
X"51898e3f",
|
||
X"9a397d52",
|
||
X"7851defc",
|
||
... | ... | |
X"55577380",
|
||
X"24ed3874",
|
||
X"7f2e8638",
|
||
X"a0e33f74",
|
||
X"a0b53f74",
|
||
X"5f78ff1b",
|
||
X"70585d58",
|
||
X"807a2595",
|
||
... | ... | |
X"38800b83",
|
||
X"c7cc0c80",
|
||
X"0b83c890",
|
||
X"0c81cff0",
|
||
X"0c81cfbc",
|
||
X"518d913f",
|
||
X"81800b83",
|
||
X"c8900c81",
|
||
X"cff8518d",
|
||
X"cfc4518d",
|
||
X"833fa80b",
|
||
X"83c7cc0c",
|
||
X"76802e80",
|
||
... | ... | |
X"56e3b83f",
|
||
X"83c08008",
|
||
X"802e8838",
|
||
X"81d08051",
|
||
X"81cfcc51",
|
||
X"8cca3f76",
|
||
X"51e2fa3f",
|
||
X"83c08008",
|
||
X"5281d194",
|
||
X"5281d0e0",
|
||
X"518cb93f",
|
||
X"7651e382",
|
||
X"3f83c080",
|
||
... | ... | |
X"b83f83c0",
|
||
X"80087852",
|
||
X"55e2d83f",
|
||
X"81d08854",
|
||
X"81cfd454",
|
||
X"83c08008",
|
||
X"8d388739",
|
||
X"80763481",
|
||
X"ce3981d0",
|
||
X"84547453",
|
||
X"ce3981cf",
|
||
X"d0547453",
|
||
X"735281cf",
|
||
X"d8518bd8",
|
||
X"a4518bd8",
|
||
X"3f805481",
|
||
X"cfe0518b",
|
||
X"cfac518b",
|
||
X"cf3f8114",
|
||
X"5473a82e",
|
||
X"098106ef",
|
||
X"38868da0",
|
||
X"519ce93f",
|
||
X"519cbb3f",
|
||
X"8052903d",
|
||
X"705257b0",
|
||
X"873f8352",
|
||
X"7651b080",
|
||
X"705257af",
|
||
X"d43f8352",
|
||
X"7651afcd",
|
||
X"3f62818f",
|
||
X"3861802e",
|
||
X"80fb387b",
|
||
... | ... | |
X"fc903878",
|
||
X"51e1a23f",
|
||
X"83c08008",
|
||
X"5281cfd4",
|
||
X"5281cfa0",
|
||
X"5183e33f",
|
||
X"83c08008",
|
||
X"a3387c51",
|
||
... | ... | |
X"fc923962",
|
||
X"802efbd3",
|
||
X"38805276",
|
||
X"51aee13f",
|
||
X"51aeae3f",
|
||
X"a33d0d04",
|
||
X"803d0d90",
|
||
X"88b83370",
|
||
... | ... | |
X"05335280",
|
||
X"0b9088bc",
|
||
X"348a519a",
|
||
X"b33fdf3f",
|
||
X"853fdf3f",
|
||
X"80f80b90",
|
||
X"88a03480",
|
||
X"0b908888",
|
||
... | ... | |
X"f638823d",
|
||
X"0d04803d",
|
||
X"0d8439a7",
|
||
X"b03ffed9",
|
||
X"823ffed9",
|
||
X"3f83c080",
|
||
X"08802ef3",
|
||
X"389088b4",
|
||
... | ... | |
X"54548073",
|
||
X"25943873",
|
||
X"70810555",
|
||
X"335281d0",
|
||
X"8c518788",
|
||
X"335281cf",
|
||
X"d8518788",
|
||
X"3fff1353",
|
||
X"e939853d",
|
||
X"0d04fd3d",
|
||
... | ... | |
X"8539747a",
|
||
X"29557452",
|
||
X"75518189",
|
||
X"f33f83c0",
|
||
X"c03f83c0",
|
||
X"80087a27",
|
||
X"ed387480",
|
||
X"2e80e038",
|
||
X"74527551",
|
||
X"8189dd3f",
|
||
X"8189aa3f",
|
||
X"83c08008",
|
||
X"75537652",
|
||
X"54818a83",
|
||
X"548189d0",
|
||
X"3f83c080",
|
||
X"087a5375",
|
||
X"52568189",
|
||
X"c33f83c0",
|
||
X"903f83c0",
|
||
X"80087930",
|
||
X"707b079f",
|
||
X"2a707780",
|
||
... | ... | |
X"7281aa2e",
|
||
X"8d3881c7",
|
||
X"3980e451",
|
||
X"8ad63fff",
|
||
X"8aa83fff",
|
||
X"14547380",
|
||
X"2e81b838",
|
||
X"820a5281",
|
||
... | ... | |
X"735580c1",
|
||
X"5680ce90",
|
||
X"548a3980",
|
||
X"e45189c8",
|
||
X"e451899a",
|
||
X"3fff1454",
|
||
X"73802ea9",
|
||
X"38805275",
|
||
... | ... | |
X"53a78854",
|
||
X"72852e8c",
|
||
X"38993980",
|
||
X"e4518780",
|
||
X"e45186d2",
|
||
X"3fff1454",
|
||
X"f9b33f83",
|
||
X"c0800881",
|
||
... | ... | |
X"75348116",
|
||
X"56758180",
|
||
X"802e0981",
|
||
X"06e43887",
|
||
X"943f893d",
|
||
X"06e43886",
|
||
X"e63f893d",
|
||
X"58a25381",
|
||
X"cbc85277",
|
||
X"5180ffe7",
|
||
X"cb945277",
|
||
X"5180ffb4",
|
||
X"3f80578c",
|
||
X"805683c7",
|
||
X"c4081677",
|
||
... | ... | |
X"833d0d04",
|
||
X"803d0d90",
|
||
X"80900870",
|
||
X"8b2cbf06",
|
||
X"912cbf06",
|
||
X"83c0800c",
|
||
X"51823d0d",
|
||
X"04ff3d0d",
|
||
X"90809070",
|
||
X"0870f88f",
|
||
X"ff06768b",
|
||
X"2b07720c",
|
||
X"5252833d",
|
||
X"0d04803d",
|
||
X"0d908090",
|
||
X"0870912c",
|
||
X"bf0683c0",
|
||
X"800c5182",
|
||
X"3d0d04ff",
|
||
X"0870fc87",
|
||
X"ffff0676",
|
||
X"912b0772",
|
||
X"0c525283",
|
||
X"3d0d0480",
|
||
X"3d0d9080",
|
||
X"90700870",
|
||
X"fc87ffff",
|
||
X"0676912b",
|
||
X"90087099",
|
||
X"2c810683",
|
||
X"c0800c51",
|
||
X"823d0d04",
|
||
X"ff3d0d90",
|
||
X"80907008",
|
||
X"70ffbf0a",
|
||
X"0676992b",
|
||
X"07720c52",
|
||
X"52833d0d",
|
||
X"04803d0d",
|
||
X"90809008",
|
||
X"70992c81",
|
||
X"90808008",
|
||
X"70882c81",
|
||
X"0683c080",
|
||
X"0c51823d",
|
||
X"0d04ff3d",
|
||
X"0d908090",
|
||
X"700870ff",
|
||
X"bf0a0676",
|
||
X"992b0772",
|
||
X"0c525283",
|
||
X"0d04803d",
|
||
X"0d908080",
|
||
X"0870892c",
|
||
X"810683c0",
|
||
X"800c5182",
|
||
X"3d0d0480",
|
||
X"3d0d9080",
|
||
X"80087088",
|
||
X"8008708a",
|
||
X"2c810683",
|
||
X"c0800c51",
|
||
X"823d0d04",
|
||
X"803d0d90",
|
||
X"80800870",
|
||
X"892c8106",
|
||
X"8b2c8106",
|
||
X"83c0800c",
|
||
X"51823d0d",
|
||
X"04803d0d",
|
||
X"90808008",
|
||
X"708a2c81",
|
||
X"708c2cbf",
|
||
X"0683c080",
|
||
X"0c51823d",
|
||
X"0d04803d",
|
||
X"0d908080",
|
||
X"08708b2c",
|
||
X"810683c0",
|
||
X"800c5182",
|
||
X"3d0d0480",
|
||
X"3d0d9080",
|
||
X"8008708c",
|
||
X"2cbf0683",
|
||
X"c0800c51",
|
||
X"823d0d04",
|
||
X"fe3d0d74",
|
||
X"81e62987",
|
||
X"2a9080a0",
|
||
X"0c843d0d",
|
||
X"0d04fe3d",
|
||
X"0d7481e6",
|
||
X"29872a90",
|
||
X"80a00c84",
|
||
X"3d0d04fe",
|
||
X"3d0d7575",
|
||
X"ff195353",
|
||
X"5370ff2e",
|
||
X"8d387272",
|
||
X"70810554",
|
||
X"34ff1151",
|
||
X"f039843d",
|
||
X"0d04fe3d",
|
||
X"0d7575ff",
|
||
X"19535353",
|
||
X"70ff2e8d",
|
||
X"38727270",
|
||
X"8405540c",
|
||
X"ff1151f0",
|
||
X"39843d0d",
|
||
X"04fe3d0d",
|
||
X"7575ff19",
|
||
X"53535370",
|
||
X"ff2e8d38",
|
||
X"72727081",
|
||
X"055434ff",
|
||
X"1151f039",
|
||
X"81808053",
|
||
X"80528880",
|
||
X"0a51ffb3",
|
||
X"3fa08053",
|
||
X"80528280",
|
||
X"0a51c73f",
|
||
X"843d0d04",
|
||
X"fe3d0d75",
|
||
X"75ff1953",
|
||
X"535370ff",
|
||
X"2e8d3872",
|
||
X"72708405",
|
||
X"540cff11",
|
||
X"51f03984",
|
||
X"3d0d04fe",
|
||
X"3d0d8180",
|
||
X"80538052",
|
||
X"88800a51",
|
||
X"ffb33fa0",
|
||
X"80538052",
|
||
X"82800a51",
|
||
X"c73f843d",
|
||
X"0d04803d",
|
||
X"0d8151fb",
|
||
X"fd3f7280",
|
||
X"2e903880",
|
||
X"51fdff3f",
|
||
X"ce3f81d2",
|
||
X"c43351fd",
|
||
X"f53f8151",
|
||
X"fc8e3f80",
|
||
X"51fc893f",
|
||
X"8051fbda",
|
||
X"3f823d0d",
|
||
X"04fd3d0d",
|
||
X"75528054",
|
||
X"80ff7225",
|
||
X"8838810b",
|
||
X"ff801353",
|
||
X"54ffbf12",
|
||
X"51709926",
|
||
X"8638e012",
|
||
X"529e39ff",
|
||
X"9f125199",
|
||
X"71279538",
|
||
X"d012e013",
|
||
X"70545451",
|
||
X"89712788",
|
||
X"388f7327",
|
||
X"83388052",
|
||
X"73802e85",
|
||
X"38818012",
|
||
X"527181ff",
|
||
X"0683c080",
|
||
X"0c853d0d",
|
||
X"04803d0d",
|
||
X"84d8c051",
|
||
X"80717081",
|
||
X"05533470",
|
||
X"84e0c02e",
|
||
X"098106f0",
|
||
X"38823d0d",
|
||
X"04fe3d0d",
|
||
X"02970533",
|
||
X"51ff863f",
|
||
X"83c08008",
|
||
X"803d0d81",
|
||
X"51fcab3f",
|
||
X"72802e90",
|
||
X"388051fd",
|
||
X"ff3fce3f",
|
||
X"81d29033",
|
||
X"51fdf53f",
|
||
X"8151fcbc",
|
||
X"3f8051fc",
|
||
X"b73f8051",
|
||
X"fc883f82",
|
||
X"3d0d04fd",
|
||
X"3d0d7552",
|
||
X"805480ff",
|
||
X"72258838",
|
||
X"810bff80",
|
||
X"135354ff",
|
||
X"bf125170",
|
||
X"99268638",
|
||
X"e012529e",
|
||
X"39ff9f12",
|
||
X"51997127",
|
||
X"9538d012",
|
||
X"e0137054",
|
||
X"54518971",
|
||
X"2788388f",
|
||
X"73278338",
|
||
X"80527380",
|
||
X"2e853881",
|
||
X"80125271",
|
||
X"81ff0683",
|
||
X"c7cc0854",
|
||
X"52807324",
|
||
X"9b3883c8",
|
||
X"8c081372",
|
||
X"83c89008",
|
||
X"07535371",
|
||
X"733483c7",
|
||
X"cc088105",
|
||
X"83c7cc0c",
|
||
X"843d0d04",
|
||
X"fa3d0d82",
|
||
X"800a1b55",
|
||
X"8057883d",
|
||
X"fc055479",
|
||
X"53745278",
|
||
X"51ffbafe",
|
||
X"3f883d0d",
|
||
X"04fe3d0d",
|
||
X"83c7e408",
|
||
X"527451c1",
|
||
X"e23f83c0",
|
||
X"80088c38",
|
||
X"76537552",
|
||
X"83c7e408",
|
||
X"51c63f84",
|
||
X"c0800c85",
|
||
X"3d0d0480",
|
||
X"3d0d84d8",
|
||
X"c0518071",
|
||
X"70810553",
|
||
X"347084e0",
|
||
X"c02e0981",
|
||
X"06f03882",
|
||
X"3d0d04fe",
|
||
X"3d0d0297",
|
||
X"053351ff",
|
||
X"863f83c0",
|
||
X"800881ff",
|
||
X"0683c7cc",
|
||
X"08545280",
|
||
X"73249b38",
|
||
X"83c88c08",
|
||
X"137283c8",
|
||
X"90080753",
|
||
X"53717334",
|
||
X"83c7cc08",
|
||
X"810583c7",
|
||
X"cc0c843d",
|
||
X"0d04fa3d",
|
||
X"0d82800a",
|
||
X"1b558057",
|
||
X"883dfc05",
|
||
X"54795374",
|
||
X"527851ff",
|
||
X"bbac3f88",
|
||
X"3d0d04fe",
|
||
X"3d0d83c7",
|
||
X"e4085375",
|
||
X"527451ff",
|
||
X"bca03f83",
|
||
X"c080088d",
|
||
X"38775376",
|
||
X"5283c7e4",
|
||
X"0851ffa0",
|
||
X"e4085274",
|
||
X"51c2903f",
|
||
X"83c08008",
|
||
X"8c387653",
|
||
X"755283c7",
|
||
X"e40851c6",
|
||
X"3f843d0d",
|
||
X"04fd3d0d",
|
||
X"04fe3d0d",
|
||
X"83c7e408",
|
||
X"51ffbb93",
|
||
X"53755274",
|
||
X"51ffbcce",
|
||
X"3f83c080",
|
||
X"0890802e",
|
||
X"098106ad",
|
||
X"38805483",
|
||
X"c1808053",
|
||
X"83c08008",
|
||
X"5283c7e4",
|
||
X"0851fef0",
|
||
X"3f87c180",
|
||
X"80143387",
|
||
X"c1908015",
|
||
X"088d3877",
|
||
X"53765283",
|
||
X"c7e40851",
|
||
X"ffa03f84",
|
||
X"3d0d04fd",
|
||
X"3d0d83c7",
|
||
X"e40851ff",
|
||
X"bbc13f83",
|
||
X"c0800890",
|
||
X"802e0981",
|
||
X"06ad3880",
|
||
X"5483c180",
|
||
X"805383c0",
|
||
X"80085283",
|
||
X"c7e40851",
|
||
X"fef03f87",
|
||
X"c1808014",
|
||
X"3387c190",
|
||
X"80153481",
|
||
X"14547390",
|
||
X"802e0981",
|
||
X"06e93885",
|
||
X"3d0d0481",
|
||
X"cfe00b83",
|
||
X"c0800c04",
|
||
X"fc3d0d76",
|
||
X"5473902e",
|
||
X"80ff3873",
|
||
X"90248e38",
|
||
X"73842e98",
|
||
X"3873862e",
|
||
X"a63882b9",
|
||
X"3973932e",
|
||
X"81953873",
|
||
X"942e81cf",
|
||
X"3882aa39",
|
||
X"81808053",
|
||
X"82808052",
|
||
X"83c7e008",
|
||
X"51fe8f3f",
|
||
X"82b53980",
|
||
X"54818080",
|
||
X"5380c080",
|
||
X"5283c7e0",
|
||
X"0851fdfa",
|
||
X"3f828080",
|
||
X"5380c080",
|
||
X"5283c7e0",
|
||
X"0851fdea",
|
||
X"3f848180",
|
||
X"80143384",
|
||
X"81c08015",
|
||
X"34848280",
|
||
X"80143384",
|
||
X"82c08015",
|
||
X"34811454",
|
||
X"7390802e",
|
||
X"098106e9",
|
||
X"38853d0d",
|
||
X"0481d094",
|
||
X"0b83c080",
|
||
X"0c04fc3d",
|
||
X"0d765473",
|
||
X"902e80ff",
|
||
X"38739024",
|
||
X"8e387384",
|
||
X"2e983873",
|
||
X"862ea638",
|
||
X"82b93973",
|
||
X"932e8195",
|
||
X"3873942e",
|
||
X"81cf3882",
|
||
X"aa398180",
|
||
X"80538280",
|
||
X"805283c7",
|
||
X"e00851fe",
|
||
X"8f3f82b5",
|
||
X"39805481",
|
||
X"7380c080",
|
||
X"2e098106",
|
||
X"dc3881eb",
|
||
X"39828080",
|
||
X"53818080",
|
||
X"5283c7e0",
|
||
X"0851fdb2",
|
||
X"3f805484",
|
||
X"82808014",
|
||
X"33848180",
|
||
X"80153481",
|
||
X"14547381",
|
||
X"80802e09",
|
||
X"8106e838",
|
||
X"81bd3981",
|
||
X"80805380",
|
||
X"c0805283",
|
||
X"c7e00851",
|
||
X"fdfa3f82",
|
||
X"80805380",
|
||
X"c0805283",
|
||
X"c7e00851",
|
||
X"fdea3f84",
|
||
X"81808014",
|
||
X"fd843f80",
|
||
X"55848180",
|
||
X"80155473",
|
||
X"338481c0",
|
||
X"80153484",
|
||
X"82808014",
|
||
X"80163473",
|
||
X"33848280",
|
||
X"80163473",
|
||
X"338482c0",
|
||
X"80153481",
|
||
X"14547380",
|
||
X"80163481",
|
||
X"15557480",
|
||
X"c0802e09",
|
||
X"8106dc38",
|
||
X"81eb3982",
|
||
X"80805381",
|
||
X"80805283",
|
||
X"8106d638",
|
||
X"80fd3981",
|
||
X"808053a0",
|
||
X"805283c7",
|
||
X"e00851fc",
|
||
X"c53f8055",
|
||
X"84818080",
|
||
X"15547333",
|
||
X"8481a080",
|
||
X"16347333",
|
||
X"8481c080",
|
||
X"16347333",
|
||
X"8481e080",
|
||
X"16347333",
|
||
X"84828080",
|
||
X"16347333",
|
||
X"8482a080",
|
||
X"16347333",
|
||
X"8482c080",
|
||
X"16347333",
|
||
X"8482e080",
|
||
X"16348115",
|
||
X"5574a080",
|
||
X"2e098106",
|
||
X"ffb6389f",
|
||
X"39fb9c3f",
|
||
X"800b83c7",
|
||
X"cc0c800b",
|
||
X"83c8900c",
|
||
X"81cfe451",
|
||
X"e89a3f81",
|
||
X"b78dc051",
|
||
X"f9903f86",
|
||
X"3d0d04fc",
|
||
X"3d0d7670",
|
||
X"5255ffbe",
|
||
X"e23f83c0",
|
||
X"80085481",
|
||
X"5383c080",
|
||
X"0880c238",
|
||
X"7451ffbe",
|
||
X"a43f83c0",
|
||
X"800881d0",
|
||
X"805383c0",
|
||
X"80085253",
|
||
X"d7843f83",
|
||
X"c08008a1",
|
||
X"3881d084",
|
||
X"527251d6",
|
||
X"f53f83c0",
|
||
X"80089238",
|
||
X"81d08852",
|
||
X"7251d6e6",
|
||
X"3f83c080",
|
||
X"08802e83",
|
||
X"38815473",
|
||
X"537283c0",
|
||
X"800c863d",
|
||
X"0d04f13d",
|
||
X"0d80d58f",
|
||
X"0b83c3a0",
|
||
X"0c83c7e0",
|
||
X"0851d893",
|
||
X"3f83c7e0",
|
||
X"0851ffb4",
|
||
X"903fff0b",
|
||
X"81d08453",
|
||
X"83c08008",
|
||
X"5256d6a6",
|
||
X"3f83c080",
|
||
X"08802e9f",
|
||
X"38805891",
|
||
X"3ddc1155",
|
||
X"559053f0",
|
||
X"155283c7",
|
||
X"e00851ff",
|
||
X"b5ec3f02",
|
||
X"b7053356",
|
||
X"81a33983",
|
||
X"c7e00851",
|
||
X"fdb23f80",
|
||
X"54848280",
|
||
X"80143384",
|
||
X"81808015",
|
||
X"34811454",
|
||
X"73818080",
|
||
X"ffb6c83f",
|
||
X"83c08008",
|
||
X"5783c080",
|
||
X"08828080",
|
||
X"2e098106",
|
||
X"e83881bd",
|
||
X"39818080",
|
||
X"5380c080",
|
||
X"5283c7e0",
|
||
X"0851fd84",
|
||
X"3f805584",
|
||
X"81808015",
|
||
X"54733384",
|
||
X"81c08016",
|
||
X"34733384",
|
||
X"82808016",
|
||
X"34733384",
|
||
X"82c08016",
|
||
X"34811555",
|
||
X"7480c080",
|
||
X"83388456",
|
||
X"83c08008",
|
||
X"8180802e",
|
||
X"09810680",
|
||
X"df38805b",
|
||
X"805a8059",
|
||
X"f9953f80",
|
||
X"0b83c7cc",
|
||
X"0c800b83",
|
||
X"c8900c81",
|
||
X"d08c51e6",
|
||
X"933f80d0",
|
||
X"0b83c7cc",
|
||
X"0c81d09c",
|
||
X"51e6853f",
|
||
X"80f80b83",
|
||
X"c7cc0c81",
|
||
X"d0b051e5",
|
||
X"f73f7580",
|
||
X"25a23880",
|
||
X"52893d70",
|
||
X"52558a89",
|
||
X"3f835274",
|
||
X"518a823f",
|
||
X"78557480",
|
||
X"25833890",
|
||
X"56807525",
|
||
X"dd388656",
|
||
X"7680c080",
|
||
X"2e098106",
|
||
X"d63880fd",
|
||
X"39818080",
|
||
X"53a08052",
|
||
X"83c7e008",
|
||
X"51fcc53f",
|
||
X"80558481",
|
||
X"80801554",
|
||
X"73338481",
|
||
X"a0801634",
|
||
X"73338481",
|
||
X"c0801634",
|
||
X"73338481",
|
||
X"e0801634",
|
||
X"73338482",
|
||
X"80801634",
|
||
X"73338482",
|
||
X"a0801634",
|
||
X"73338482",
|
||
X"c0801634",
|
||
X"73338482",
|
||
X"e0801634",
|
||
X"81155574",
|
||
X"a0802e09",
|
||
X"8106ffb6",
|
||
X"389f39fb",
|
||
X"9c3f800b",
|
||
X"85389356",
|
||
X"8c3976a0",
|
||
X"802e0981",
|
||
X"06833894",
|
||
X"567551fa",
|
||
X"af3f913d",
|
||
X"0d04f73d",
|
||
X"0d805980",
|
||
X"58805780",
|
||
X"705656f8",
|
||
X"8e3f800b",
|
||
X"83c7cc0c",
|
||
X"800b83c8",
|
||
X"900c81d0",
|
||
X"9851e7ec",
|
||
X"3f81b78d",
|
||
X"c051f990",
|
||
X"3f863d0d",
|
||
X"04fc3d0d",
|
||
X"76705255",
|
||
X"ffbeb43f",
|
||
X"83c08008",
|
||
X"54815383",
|
||
X"c0800880",
|
||
X"c2387451",
|
||
X"ffbdf63f",
|
||
X"83c08008",
|
||
X"81d0b453",
|
||
X"83c08008",
|
||
X"5253d6d6",
|
||
X"c451e58c",
|
||
X"3f81800b",
|
||
X"83c8900c",
|
||
X"81d0c851",
|
||
X"e4fe3f80",
|
||
X"d00b83c7",
|
||
X"cc0c7430",
|
||
X"70760780",
|
||
X"2570872b",
|
||
X"83c8900c",
|
||
X"5153f3c2",
|
||
X"3f83c080",
|
||
X"08a13881",
|
||
X"d0b85272",
|
||
X"51d6c73f",
|
||
X"83c08008",
|
||
X"923881d0",
|
||
X"bc527251",
|
||
X"d6b83f83",
|
||
X"c0800880",
|
||
X"2e833881",
|
||
X"54735372",
|
||
X"83c0800c",
|
||
X"863d0d04",
|
||
X"f13d0d80",
|
||
X"d5bd0b83",
|
||
X"c3a00c83",
|
||
X"c7e00851",
|
||
X"d7e53f83",
|
||
X"c7e00851",
|
||
X"ffb3e23f",
|
||
X"ff0b81d0",
|
||
X"b85383c0",
|
||
X"80085256",
|
||
X"d5f83f83",
|
||
X"c0800880",
|
||
X"2e9f3880",
|
||
X"58913ddc",
|
||
X"11555590",
|
||
X"53f01552",
|
||
X"83c7e008",
|
||
X"51ffb5be",
|
||
X"3f02b705",
|
||
X"335681a3",
|
||
X"3983c7e0",
|
||
X"0851ffb6",
|
||
X"9a3f83c0",
|
||
X"80085783",
|
||
X"c0800882",
|
||
X"80802e09",
|
||
X"81068338",
|
||
X"845683c0",
|
||
X"80088180",
|
||
X"802e0981",
|
||
X"0680df38",
|
||
X"805b805a",
|
||
X"8059f995",
|
||
X"3f800b83",
|
||
X"c7cc0c80",
|
||
X"0b83c890",
|
||
X"0c81d0c0",
|
||
X"51e5e53f",
|
||
X"80d00b83",
|
||
X"c7cc0c81",
|
||
X"d0d051e5",
|
||
X"d73f80f8",
|
||
X"0b83c7cc",
|
||
X"0c81d0e4",
|
||
X"51e5c93f",
|
||
X"758025a2",
|
||
X"38805289",
|
||
X"3d705255",
|
||
X"8a8e3f83",
|
||
X"5274518a",
|
||
X"873f7855",
|
||
X"74802583",
|
||
X"38905680",
|
||
X"7525dd38",
|
||
X"86567680",
|
||
X"c0802e09",
|
||
X"81068538",
|
||
X"93568c39",
|
||
X"76a0802e",
|
||
X"09810683",
|
||
X"38945675",
|
||
X"51faaf3f",
|
||
X"913d0d04",
|
||
X"f73d0d80",
|
||
X"59805880",
|
||
X"57807056",
|
||
X"56f88e3f",
|
||
X"800b83c7",
|
||
X"cc0c800b",
|
||
X"83c8900c",
|
||
X"81d0f851",
|
||
X"e4de3f81",
|
||
X"800b83c8",
|
||
X"900c81d0",
|
||
X"fc51e4d0",
|
||
X"3f80d00b",
|
||
X"085281d0",
|
||
X"d051e4d8",
|
||
X"3f80f80b",
|
||
X"83c7cc0c",
|
||
X"74307076",
|
||
X"07802570",
|
||
X"872b83c8",
|
||
X"900c5153",
|
||
X"f3943f83",
|
||
X"74813270",
|
||
X"30707207",
|
||
X"80257087",
|
||
X"2b83c890",
|
||
X"0c515454",
|
||
X"f9ad3f83",
|
||
X"c0800852",
|
||
X"81d18451",
|
||
X"e4aa3f80",
|
||
X"f80b83c7",
|
||
X"cc0c7481",
|
||
X"81d0dc51",
|
||
X"e4ae3f81",
|
||
X"a00b83c7",
|
||
X"cc0c7482",
|
||
X"32703070",
|
||
X"72078025",
|
||
X"70872b83",
|
||
X"c8900c51",
|
||
X"5454f9ad",
|
||
X"5483c7e4",
|
||
X"085254ff",
|
||
X"b18b3f83",
|
||
X"c0800852",
|
||
X"81d0e451",
|
||
X"e3fe3f81",
|
||
X"c80b83c7",
|
||
X"cc0c7483",
|
||
X"32703070",
|
||
X"72078025",
|
||
X"70872b83",
|
||
X"c8900c51",
|
||
X"5483c7e0",
|
||
X"085254ff",
|
||
X"b0db3f81",
|
||
X"d0ec5383",
|
||
X"c0800880",
|
||
X"2e8f3883",
|
||
X"c7e00851",
|
||
X"ffb0c63f",
|
||
X"83c08008",
|
||
X"53725281",
|
||
X"d0f451e3",
|
||
X"b73f81f0",
|
||
X"0b83c7cc",
|
||
X"0c748432",
|
||
X"70307072",
|
||
X"07802570",
|
||
X"872b83c8",
|
||
X"900c5155",
|
||
X"81d0fc52",
|
||
X"53e3953f",
|
||
X"868da051",
|
||
X"f48c3f80",
|
||
X"52873d70",
|
||
X"525387a5",
|
||
X"3f835272",
|
||
X"51879e3f",
|
||
X"77155574",
|
||
X"80258538",
|
||
X"80559039",
|
||
X"84752585",
|
||
X"38845587",
|
||
X"39748426",
|
||
X"81a03874",
|
||
X"842981cb",
|
||
X"b8055372",
|
||
X"0804f1b2",
|
||
X"3f83c080",
|
||
X"085281d1",
|
||
X"9051e480",
|
||
X"3f81a00b",
|
||
X"83c7cc0c",
|
||
X"74823270",
|
||
X"30707207",
|
||
X"80257087",
|
||
X"2b83c890",
|
||
X"0c515483",
|
||
X"c7e40852",
|
||
X"54ffb0dd",
|
||
X"08775553",
|
||
X"73812e09",
|
||
X"81068938",
|
||
X"83c08008",
|
||
X"10539039",
|
||
X"73ff2e09",
|
||
X"81068838",
|
||
X"83c08008",
|
||
X"812c5390",
|
||
X"73258538",
|
||
X"90538839",
|
||
X"72802483",
|
||
X"38815372",
|
||
X"51f18c3f",
|
||
X"80d439f1",
|
||
X"9e3f83c0",
|
||
X"80081753",
|
||
X"72802585",
|
||
X"38805388",
|
||
X"39877325",
|
||
X"83388753",
|
||
X"7251f198",
|
||
X"3fb43976",
|
||
X"86387880",
|
||
X"2eac3883",
|
||
X"c39c0883",
|
||
X"c3980cad",
|
||
X"e50b83c3",
|
||
X"a00c83c7",
|
||
X"e40851d2",
|
||
X"d23ff5ff",
|
||
X"3f903978",
|
||
X"802e8b38",
|
||
X"faa03f81",
|
||
X"538c3978",
|
||
X"87387580",
|
||
X"2efc9c38",
|
||
X"80537283",
|
||
X"c0800c8b",
|
||
X"3d0d04ff",
|
||
X"3d0d83c7",
|
||
X"fc5180de",
|
||
X"fe3f83c7",
|
||
X"ec5180de",
|
||
X"f63ff1b1",
|
||
X"3f83c080",
|
||
X"085281d1",
|
||
X"9851e3d0",
|
||
X"3f81c80b",
|
||
X"83c7cc0c",
|
||
X"74833270",
|
||
X"30707207",
|
||
X"80257087",
|
||
X"2b83c890",
|
||
X"0c515483",
|
||
X"c7e00852",
|
||
X"54ffb0ad",
|
||
X"3f81d1a0",
|
||
X"5383c080",
|
||
X"08802e8f",
|
||
X"3883c7e0",
|
||
X"0851ffb0",
|
||
X"983f83c0",
|
||
X"80085372",
|
||
X"5281d1a8",
|
||
X"51e3893f",
|
||
X"81f00b83",
|
||
X"c7cc0c74",
|
||
X"84327030",
|
||
X"70720780",
|
||
X"2570872b",
|
||
X"83c8900c",
|
||
X"515581d1",
|
||
X"b05253e2",
|
||
X"e73f868d",
|
||
X"a051f48c",
|
||
X"3f805287",
|
||
X"3d705253",
|
||
X"87aa3f83",
|
||
X"52725187",
|
||
X"a33f7715",
|
||
X"55748025",
|
||
X"85388055",
|
||
X"90398475",
|
||
X"25853884",
|
||
X"55873974",
|
||
X"842681a0",
|
||
X"38748429",
|
||
X"81cbec05",
|
||
X"53720804",
|
||
X"f1843f83",
|
||
X"c0800877",
|
||
X"55537381",
|
||
X"2e098106",
|
||
X"893883c0",
|
||
X"80081053",
|
||
X"903973ff",
|
||
X"2e098106",
|
||
X"883883c0",
|
||
X"8008812c",
|
||
X"53907325",
|
||
X"85389053",
|
||
X"88397280",
|
||
X"24833881",
|
||
X"537251f0",
|
||
X"de3f80d4",
|
||
X"39f0f03f",
|
||
X"08802e86",
|
||
X"38805180",
|
||
X"da39f1b6",
|
||
X"3f83c080",
|
||
X"0880ce38",
|
||
X"f1d63f83",
|
||
X"c0800880",
|
||
X"2eaa3881",
|
||
X"51ef933f",
|
||
X"ebd93f80",
|
||
X"0b83c7cc",
|
||
X"0cfbbb3f",
|
||
X"83c08008",
|
||
X"17537280",
|
||
X"25853880",
|
||
X"53883987",
|
||
X"73258338",
|
||
X"87537251",
|
||
X"f0ea3fb4",
|
||
X"39768638",
|
||
X"78802eac",
|
||
X"3883c39c",
|
||
X"0883c398",
|
||
X"0cade50b",
|
||
X"83c3a00c",
|
||
X"83c7e408",
|
||
X"51d2a43f",
|
||
X"f5ff3f90",
|
||
X"3978802e",
|
||
X"8b38faa0",
|
||
X"3f81538c",
|
||
X"39788738",
|
||
X"75802efc",
|
||
X"9c388053",
|
||
X"7283c080",
|
||
X"0c8b3d0d",
|
||
X"04ff3d0d",
|
||
X"83c7fc51",
|
||
X"80df833f",
|
||
X"83c7ec51",
|
||
X"80defb3f",
|
||
X"f1b13f83",
|
||
X"52ff0b83",
|
||
X"c7cc0ced",
|
||
X"df3f71a1",
|
||
X"387151ee",
|
||
X"f13f9f39",
|
||
X"f18d3f83",
|
||
X"c0800880",
|
||
X"2e863880",
|
||
X"5180da39",
|
||
X"f1b63f83",
|
||
X"c0800880",
|
||
X"ce38f1d6",
|
||
X"3f83c080",
|
||
X"08802eaa",
|
||
X"388151ee",
|
||
X"e53febab",
|
||
X"3f800b83",
|
||
X"c7cc0cfb",
|
||
X"bb3f83c0",
|
||
X"800852ff",
|
||
X"0b83c7cc",
|
||
X"0cedb13f",
|
||
X"71a13871",
|
||
X"51eec33f",
|
||
X"9f39f18d",
|
||
X"3f83c080",
|
||
X"08802e94",
|
||
X"388151ee",
|
||
X"b13feaf7",
|
||
X"3ff9913f",
|
||
X"ed8e3f81",
|
||
X"51f29f3f",
|
||
X"833d0d04",
|
||
X"fe3d0d80",
|
||
X"5283c7fc",
|
||
X"5180ceba",
|
||
X"3f815283",
|
||
X"c7ec5180",
|
||
X"ceb03f82",
|
||
X"80805380",
|
||
X"52818180",
|
||
X"8051f199",
|
||
X"3f80c080",
|
||
X"53805284",
|
||
X"2e943881",
|
||
X"51eedf3f",
|
||
X"eba53ff9",
|
||
X"913fedbc",
|
||
X"3f8151f2",
|
||
X"9f3f833d",
|
||
X"0d04fe3d",
|
||
X"0d805283",
|
||
X"c7fc5180",
|
||
X"ceb53f81",
|
||
X"5283c7ec",
|
||
X"5180ceab",
|
||
X"3f828080",
|
||
X"53805281",
|
||
X"81808051",
|
||
X"f1aa3f90",
|
||
X"80805286",
|
||
X"84808051",
|
||
X"ffb0e13f",
|
||
X"83c08008",
|
||
X"a43881d2",
|
||
X"d451ffb5",
|
||
X"a43f83c7",
|
||
X"e4085381",
|
||
X"d1b85283",
|
||
X"c0800851",
|
||
X"ffb0833f",
|
||
X"83c08008",
|
||
X"8438f3f1",
|
||
X"3f8151f1",
|
||
X"ad3ffe8d",
|
||
X"3ffc3983",
|
||
X"c08c0802",
|
||
X"83c08c0c",
|
||
X"fb3d0d02",
|
||
X"81d1c40b",
|
||
X"83c39c0c",
|
||
X"81d0bc0b",
|
||
X"83c3940c",
|
||
X"81d0b80b",
|
||
X"83c3a40c",
|
||
X"83c08c08",
|
||
X"fc050c80",
|
||
X"0b83c7d0",
|
||
X"0b83c08c",
|
||
X"08f8050c",
|
||
X"83c08c08",
|
||
X"f4050cff",
|
||
X"aee23f83",
|
||
X"c0800886",
|
||
X"05fc0683",
|
||
X"c08c08f0",
|
||
X"050c0283",
|
||
X"c08c08f0",
|
||
X"0508310d",
|
||
X"833d7083",
|
||
X"f1993f80",
|
||
X"c0805380",
|
||
X"52848180",
|
||
X"8051f1aa",
|
||
X"3f908080",
|
||
X"52868480",
|
||
X"8051ffb1",
|
||
X"8f3f83c0",
|
||
X"8008a438",
|
||
X"81d2a051",
|
||
X"ffb5d23f",
|
||
X"83c7e408",
|
||
X"5381d184",
|
||
X"5283c080",
|
||
X"0851ffb0",
|
||
X"b13f83c0",
|
||
X"80088438",
|
||
X"f3f13f81",
|
||
X"51f1ad3f",
|
||
X"fe8d3ffc",
|
||
X"3983c08c",
|
||
X"080283c0",
|
||
X"8c0cfb3d",
|
||
X"0d0281d1",
|
||
X"900b83c3",
|
||
X"9c0c81d0",
|
||
X"880b83c3",
|
||
X"940c81d0",
|
||
X"840b83c3",
|
||
X"a40c83c0",
|
||
X"8c08fc05",
|
||
X"0c800b83",
|
||
X"c7d00b83",
|
||
X"c08c08f8",
|
||
X"05087084",
|
||
X"0583c08c",
|
||
X"08f8050c",
|
||
X"0c51ffab",
|
||
X"aa3f83c0",
|
||
X"8c08f405",
|
||
X"08810583",
|
||
X"c08c08f4",
|
||
X"050c83c0",
|
||
X"8c08f405",
|
||
X"08872e09",
|
||
X"8106ffab",
|
||
X"38869480",
|
||
X"8051e8c1",
|
||
X"3fff0b83",
|
||
X"c7cc0c80",
|
||
X"0b83c890",
|
||
X"0c84d8c0",
|
||
X"0b83c88c",
|
||
X"0c8151eb",
|
||
X"f53f8151",
|
||
X"ec9a3f80",
|
||
X"51ec953f",
|
||
X"8151ecbb",
|
||
X"3f8151ed",
|
||
X"903f8251",
|
||
X"ecde3f80",
|
||
X"51edb43f",
|
||
X"8051edde",
|
||
X"3f80d0d5",
|
||
X"528051dd",
|
||
X"a03ffda8",
|
||
X"3f83c08c",
|
||
X"08fc0508",
|
||
X"0d800b83",
|
||
X"c0800c87",
|
||
X"3d0d83c0",
|
||
X"8c0c04fc",
|
||
X"3d0d7655",
|
||
X"80750c80",
|
||
X"0b84160c",
|
||
X"800b8816",
|
||
X"0c83c7fc",
|
||
X"5180db82",
|
||
X"3f83c7ec",
|
||
X"5180dafa",
|
||
X"3f87d089",
|
||
X"3387d08f",
|
||
X"3370822a",
|
||
X"70810670",
|
||
X"30707207",
|
||
X"7009709f",
|
||
X"2c77069e",
|
||
X"06545151",
|
||
X"56515154",
|
||
X"54ede03f",
|
Also available in: Unified diff
Split the concept of hsync, vsync and composite sync. When composite sync used tie vsync high. The offset hsync pulse of pal/hsync is only present for 15khz composite sync. Remove rom selection since its unused.