Revision 346
Added by markw over 10 years ago
| aeon_lite/atari.vhd | ||
|---|---|---|
| 
        signal VIDEO_B             : std_logic_vector(7 downto 0);
 
   | 
||
| 
        signal VIDEO_VS            : std_logic;
 
   | 
||
| 
        signal VIDEO_HS            : std_logic;
 
   | 
||
| 
        signal VIDEO_CS            : std_logic;
 
   | 
||
| 
     | 
||
| 
        signal PAL                 : std_logic := '0';
 
   | 
||
| 
        signal VGA                 : std_logic := '1';
 
   | 
||
| ... | ... | |
| 
     | 
||
| 
        VIDEO_VS                   => VIDEO_VS,
 
   | 
||
| 
        VIDEO_HS                   => VIDEO_HS,
 
   | 
||
| 
        VIDEO_CS                   => VIDEO_CS,
 
   | 
||
| 
        VIDEO_B                    => VIDEO_B,
 
   | 
||
| 
        VIDEO_G                    => VIDEO_G,
 
   | 
||
| 
        VIDEO_R                    => VIDEO_R,
 
   | 
||
| ... | ... | |
| 
        COLOUR_IN                  => VIDEO_B,
 
   | 
||
| 
        VSYNC_IN                   => VIDEO_VS,
 
   | 
||
| 
        HSYNC_IN                   => VIDEO_HS,
 
   | 
||
| 
        CSYNC_IN                   => VIDEO_CS,
 
   | 
||
| 
     | 
||
| 
        R                          => VGA_R,
 
   | 
||
| 
        G                          => VGA_G,
 
   | 
||
| aeon_lite/zpu_rom.vhdl | ||
|---|---|---|
| 
     X"00000000",
 
   | 
||
| 
     X"00000000",
 
   | 
||
| 
     X"71fc0608",
 
   | 
||
| 
     X"0b0b80f2",
 
   | 
||
| 
     X"80738306",
 
   | 
||
| 
     X"0b0b80f1",
 
   | 
||
| 
     X"c8738306",
 
   | 
||
| 
     X"10100508",
 
   | 
||
| 
     X"060b0b0b",
 
   | 
||
| 
     X"88a20400",
 
   | 
||
| ... | ... | |
| 
     X"00000000",
 
   | 
||
| 
     X"00000000",
 
   | 
||
| 
     X"00000000",
 
   | 
||
| 
     X"810b80f5",
 
   | 
||
| 
     X"b40c5104",
 
   | 
||
| 
     X"810b80f4",
 
   | 
||
| 
     X"fc0c5104",
 
   | 
||
| 
     X"00000000",
 
   | 
||
| 
     X"00000000",
 
   | 
||
| 
     X"00000000",
 
   | 
||
| ... | ... | |
| 
     X"83e08408",
 
   | 
||
| 
     X"83e08808",
 
   | 
||
| 
     X"757580ef",
 
   | 
||
| 
     X"bf2d5050",
 
   | 
||
| 
     X"8a2d5050",
 
   | 
||
| 
     X"83e08008",
 
   | 
||
| 
     X"5683e088",
 
   | 
||
| 
     X"0c83e084",
 
   | 
||
| ... | ... | |
| 
     X"e0800883",
 
   | 
||
| 
     X"e0840883",
 
   | 
||
| 
     X"e0880875",
 
   | 
||
| 
     X"7580eefe",
 
   | 
||
| 
     X"7580eec9",
 
   | 
||
| 
     X"2d505083",
 
   | 
||
| 
     X"e0800856",
 
   | 
||
| 
     X"83e0880c",
 
   | 
||
| ... | ... | |
| 
     X"51040000",
 
   | 
||
| 
     X"800489aa",
 
   | 
||
| 
     X"0489aa0b",
 
   | 
||
| 
     X"80da8a04",
 
   | 
||
| 
     X"80d9da04",
 
   | 
||
| 
     X"fd3d0d75",
 
   | 
||
| 
     X"705254ad",
 
   | 
||
| 
     X"b13f83e0",
 
   | 
||
| 
     X"af3f83e0",
 
   | 
||
| 
     X"80081453",
 
   | 
||
| 
     X"72742e92",
 
   | 
||
| 
     X"38ff1370",
 
   | 
||
| ... | ... | |
| 
     X"0d04800b",
 
   | 
||
| 
     X"83e2c008",
 
   | 
||
| 
     X"248a38b4",
 
   | 
||
| 
     X"823fff0b",
 
   | 
||
| 
     X"803fff0b",
 
   | 
||
| 
     X"83e2c00c",
 
   | 
||
| 
     X"800b83e0",
 
   | 
||
| 
     X"800c04ff",
 
   | 
||
| ... | ... | |
| 
     X"f00c7583",
 
   | 
||
| 
     X"e2c00c74",
 
   | 
||
| 
     X"53765278",
 
   | 
||
| 
     X"51b2b33f",
 
   | 
||
| 
     X"51b2b13f",
 
   | 
||
| 
     X"83e08008",
 
   | 
||
| 
     X"83e2f008",
 
   | 
||
| 
     X"1683e2f0",
 
   | 
||
| ... | ... | |
| 
     X"fd3d0d75",
 
   | 
||
| 
     X"77715470",
 
   | 
||
| 
     X"535553a9",
 
   | 
||
| 
     X"893f82c8",
 
   | 
||
| 
     X"873f82c8",
 
   | 
||
| 
     X"1308bc15",
 
   | 
||
| 
     X"0c82c013",
 
   | 
||
| 
     X"0880c015",
 
   | 
||
| ... | ... | |
| 
     X"72802ea5",
 
   | 
||
| 
     X"38bc1308",
 
   | 
||
| 
     X"527351a8",
 
   | 
||
| 
     X"933f83e0",
 
   | 
||
| 
     X"913f83e0",
 
   | 
||
| 
     X"80088f38",
 
   | 
||
| 
     X"77527251",
 
   | 
||
| 
     X"ff9a3f83",
 
   | 
||
| ... | ... | |
| 
     X"0c7483e0",
 
   | 
||
| 
     X"a00c7583",
 
   | 
||
| 
     X"e2bc0cae",
 
   | 
||
| 
     X"e73f83e0",
 
   | 
||
| 
     X"e53f83e0",
 
   | 
||
| 
     X"800881ff",
 
   | 
||
| 
     X"06528153",
 
   | 
||
| 
     X"71993883",
 
   | 
||
| ... | ... | |
| 
     X"09810691",
 
   | 
||
| 
     X"3880c016",
 
   | 
||
| 
     X"5280c017",
 
   | 
||
| 
     X"51a6843f",
 
   | 
||
| 
     X"51a6823f",
 
   | 
||
| 
     X"83e08008",
 
   | 
||
| 
     X"557483e0",
 
   | 
||
| 
     X"800c883d",
 
   | 
||
| ... | ... | |
| 
     X"3d0d7b7d",
 
   | 
||
| 
     X"5a5a82d0",
 
   | 
||
| 
     X"5283e2bc",
 
   | 
||
| 
     X"085180de",
 
   | 
||
| 
     X"813f83e0",
 
   | 
||
| 
     X"085180dd",
 
   | 
||
| 
     X"cc3f83e0",
 
   | 
||
| 
     X"800857f9",
 
   | 
||
| 
     X"e13f7952",
 
   | 
||
| 
     X"83e2c451",
 
   | 
||
| ... | ... | |
| 
     X"09810682",
 
   | 
||
| 
     X"833883e0",
 
   | 
||
| 
     X"a0080b0b",
 
   | 
||
| 
     X"80f3b453",
 
   | 
||
| 
     X"80f2fc53",
 
   | 
||
| 
     X"705256a5",
 
   | 
||
| 
     X"c13f0b0b",
 
   | 
||
| 
     X"80f3b452",
 
   | 
||
| 
     X"bf3f0b0b",
 
   | 
||
| 
     X"80f2fc52",
 
   | 
||
| 
     X"80c01651",
 
   | 
||
| 
     X"a5b43f75",
 
   | 
||
| 
     X"a5b23f75",
 
   | 
||
| 
     X"bc170c73",
 
   | 
||
| 
     X"82c0170c",
 
   | 
||
| 
     X"810b82c4",
 
   | 
||
| ... | ... | |
| 
     X"e0ac3381",
 
   | 
||
| 
     X"0682c815",
 
   | 
||
| 
     X"0c795273",
 
   | 
||
| 
     X"51a4db3f",
 
   | 
||
| 
     X"7351a4f2",
 
   | 
||
| 
     X"51a4d93f",
 
   | 
||
| 
     X"7351a4f0",
 
   | 
||
| 
     X"3f83e080",
 
   | 
||
| 
     X"081453af",
 
   | 
||
| 
     X"73708105",
 
   | 
||
| 
     X"553472bc",
 
   | 
||
| 
     X"150c83e0",
 
   | 
||
| 
     X"ad527251",
 
   | 
||
| 
     X"a4bc3f83",
 
   | 
||
| 
     X"a4ba3f83",
 
   | 
||
| 
     X"e0a40882",
 
   | 
||
| 
     X"c0150c83",
 
   | 
||
| 
     X"e0ba5280",
 
   | 
||
| 
     X"c01451a4",
 
   | 
||
| 
     X"a93f7880",
 
   | 
||
| 
     X"a73f7880",
 
   | 
||
| 
     X"2e8d3873",
 
   | 
||
| 
     X"51782d83",
 
   | 
||
| 
     X"e0800880",
 
   | 
||
| ... | ... | |
| 
     X"76882a8c",
 
   | 
||
| 
     X"17080552",
 
   | 
||
| 
     X"893dfc05",
 
   | 
||
| 
     X"51a9953f",
 
   | 
||
| 
     X"51a9933f",
 
   | 
||
| 
     X"83e08008",
 
   | 
||
| 
     X"80df3802",
 
   | 
||
| 
     X"9d053389",
 
   | 
||
| ... | ... | |
| 
     X"76872a8c",
 
   | 
||
| 
     X"17080552",
 
   | 
||
| 
     X"893dfc05",
 
   | 
||
| 
     X"51a8e53f",
 
   | 
||
| 
     X"51a8e33f",
 
   | 
||
| 
     X"83e08008",
 
   | 
||
| 
     X"b038029f",
 
   | 
||
| 
     X"05330284",
 
   | 
||
| ... | ... | |
| 
     X"5affab39",
 
   | 
||
| 
     X"76982b55",
 
   | 
||
| 
     X"74802587",
 
   | 
||
| 
     X"3880f190",
 
   | 
||
| 
     X"3880f0d8",
 
   | 
||
| 
     X"173357ff",
 
   | 
||
| 
     X"9f175574",
 
   | 
||
| 
     X"99268938",
 
   | 
||
| ... | ... | |
| 
     X"70852b83",
 
   | 
||
| 
     X"e0065455",
 
   | 
||
| 
     X"901b0852",
 
   | 
||
| 
     X"7c51a3a0",
 
   | 
||
| 
     X"7c51a39e",
 
   | 
||
| 
     X"3f83e080",
 
   | 
||
| 
     X"085783e0",
 
   | 
||
| 
     X"80088181",
 
   | 
||
| ... | ... | |
| 
     X"7a7c5957",
 
   | 
||
| 
     X"825483fe",
 
   | 
||
| 
     X"53775276",
 
   | 
||
| 
     X"51a1e53f",
 
   | 
||
| 
     X"51a1e33f",
 
   | 
||
| 
     X"835683e0",
 
   | 
||
| 
     X"800880ec",
 
   | 
||
| 
     X"38811733",
 
   | 
||
| ... | ... | |
| 
     X"810680d4",
 
   | 
||
| 
     X"387554b6",
 
   | 
||
| 
     X"53775276",
 
   | 
||
| 
     X"51a1b93f",
 
   | 
||
| 
     X"51a1b73f",
 
   | 
||
| 
     X"83e08008",
 
   | 
||
| 
     X"98388117",
 
   | 
||
| 
     X"33773371",
 
   | 
||
| ... | ... | |
| 
     X"82c62eac",
 
   | 
||
| 
     X"38825480",
 
   | 
||
| 
     X"d2537752",
 
   | 
||
| 
     X"7651a190",
 
   | 
||
| 
     X"7651a18e",
 
   | 
||
| 
     X"3f83e080",
 
   | 
||
| 
     X"08983881",
 
   | 
||
| 
     X"17337733",
 
   | 
||
| ... | ... | |
| 
     X"04eb3d0d",
 
   | 
||
| 
     X"675a800b",
 
   | 
||
| 
     X"83e0900c",
 
   | 
||
| 
     X"a0b23f83",
 
   | 
||
| 
     X"a0b03f83",
 
   | 
||
| 
     X"e0800881",
 
   | 
||
| 
     X"06558256",
 
   | 
||
| 
     X"7483ef38",
 
   | 
||
| ... | ... | |
| 
     X"810680d4",
 
   | 
||
| 
     X"38905483",
 
   | 
||
| 
     X"be537452",
 
   | 
||
| 
     X"7551a0a4",
 
   | 
||
| 
     X"7551a0a2",
 
   | 
||
| 
     X"3f83e080",
 
   | 
||
| 
     X"0880c938",
 
   | 
||
| 
     X"8f3d3355",
 
   | 
||
| ... | ... | |
| 
     X"82e839a4",
 
   | 
||
| 
     X"548d5378",
 
   | 
||
| 
     X"5275519f",
 
   | 
||
| 
     X"bb3f8156",
 
   | 
||
| 
     X"b93f8156",
 
   | 
||
| 
     X"83e08008",
 
   | 
||
| 
     X"82d43802",
 
   | 
||
| 
     X"be053302",
 
   | 
||
| ... | ... | |
| 
     X"79842a90",
 
   | 
||
| 
     X"3d335471",
 
   | 
||
| 
     X"71315356",
 
   | 
||
| 
     X"5680cee6",
 
   | 
||
| 
     X"5680ceb1",
 
   | 
||
| 
     X"3f83e080",
 
   | 
||
| 
     X"08820570",
 
   | 
||
| 
     X"881c0c83",
 
   | 
||
| ... | ... | |
| 
     X"a8160852",
 
   | 
||
| 
     X"79577b83",
 
   | 
||
| 
     X"387b5776",
 
   | 
||
| 
     X"5199e13f",
 
   | 
||
| 
     X"5199df3f",
 
   | 
||
| 
     X"83e08008",
 
   | 
||
| 
     X"fed03898",
 
   | 
||
| 
     X"16081598",
 
   | 
||
| ... | ... | |
| 
     X"56537680",
 
   | 
||
| 
     X"2eb73874",
 
   | 
||
| 
     X"52ff1651",
 
   | 
||
| 
     X"80c9e73f",
 
   | 
||
| 
     X"80c9b23f",
 
   | 
||
| 
     X"83e08008",
 
   | 
||
| 
     X"ff187654",
 
   | 
||
| 
     X"70535853",
 
   | 
||
| 
     X"80c9d73f",
 
   | 
||
| 
     X"80c9a23f",
 
   | 
||
| 
     X"83e08008",
 
   | 
||
| 
     X"73269638",
 
   | 
||
| 
     X"74307078",
 
   | 
||
| ... | ... | |
| 
     X"2b83e006",
 
   | 
||
| 
     X"5458901e",
 
   | 
||
| 
     X"08527851",
 
   | 
||
| 
     X"95ea3f83",
 
   | 
||
| 
     X"95e83f83",
 
   | 
||
| 
     X"e0800841",
 
   | 
||
| 
     X"83e08008",
 
   | 
||
| 
     X"ffb83878",
 
   | 
||
| ... | ... | |
| 
     X"80603475",
 
   | 
||
| 
     X"802e8838",
 
   | 
||
| 
     X"7c527f51",
 
   | 
||
| 
     X"8d903f60",
 
   | 
||
| 
     X"8d8e3f60",
 
   | 
||
| 
     X"802e8638",
 
   | 
||
| 
     X"800b901f",
 
   | 
||
| 
     X"0c605660",
 
   | 
||
| ... | ... | |
| 
     X"1f335574",
 
   | 
||
| 
     X"8a38891f",
 
   | 
||
| 
     X"52961f51",
 
   | 
||
| 
     X"8b9c3f75",
 
   | 
||
| 
     X"8b9a3f75",
 
   | 
||
| 
     X"83e0800c",
 
   | 
||
| 
     X"9e3d0d04",
 
   | 
||
| 
     X"f93d0d80",
 
   | 
||
| ... | ... | |
| 
     X"0c893d0d",
 
   | 
||
| 
     X"04fc3d0d",
 
   | 
||
| 
     X"76705255",
 
   | 
||
| 
     X"8b983f83",
 
   | 
||
| 
     X"8b963f83",
 
   | 
||
| 
     X"e0800815",
 
   | 
||
| 
     X"ff055473",
 
   | 
||
| 
     X"752e8e38",
 
   | 
||
| ... | ... | |
| 
     X"ae2e8638",
 
   | 
||
| 
     X"ff1454ef",
 
   | 
||
| 
     X"39775281",
 
   | 
||
| 
     X"14518ab0",
 
   | 
||
| 
     X"14518aae",
 
   | 
||
| 
     X"3f83e080",
 
   | 
||
| 
     X"08307083",
 
   | 
||
| 
     X"e0800807",
 
   | 
||
| ... | ... | |
| 
     X"80c13874",
 
   | 
||
| 
     X"51e7a63f",
 
   | 
||
| 
     X"83e08008",
 
   | 
||
| 
     X"80f3c453",
 
   | 
||
| 
     X"80f38c53",
 
   | 
||
| 
     X"83e08008",
 
   | 
||
| 
     X"5253ff91",
 
   | 
||
| 
     X"3f83e080",
 
   | 
||
| 
     X"08a13880",
 
   | 
||
| 
     X"f3c85272",
 
   | 
||
| 
     X"f3905272",
 
   | 
||
| 
     X"51ff823f",
 
   | 
||
| 
     X"83e08008",
 
   | 
||
| 
     X"923880f3",
 
   | 
||
| 
     X"cc527251",
 
   | 
||
| 
     X"94527251",
 
   | 
||
| 
     X"fef33f83",
 
   | 
||
| 
     X"e0800880",
 
   | 
||
| 
     X"2e833881",
 
   | 
||
| ... | ... | |
| 
     X"80083395",
 
   | 
||
| 
     X"3d565473",
 
   | 
||
| 
     X"963880f8",
 
   | 
||
| 
     X"b8527451",
 
   | 
||
| 
     X"89903f9a",
 
   | 
||
| 
     X"80527451",
 
   | 
||
| 
     X"898e3f9a",
 
   | 
||
| 
     X"397d5278",
 
   | 
||
| 
     X"51dff13f",
 
   | 
||
| 
     X"84cf397d",
 
   | 
||
| 
     X"84cd397d",
 
   | 
||
| 
     X"51dcd63f",
 
   | 
||
| 
     X"83e08008",
 
   | 
||
| 
     X"527451dc",
 
   | 
||
| ... | ... | |
| 
     X"57738024",
 
   | 
||
| 
     X"ed38747f",
 
   | 
||
| 
     X"2e8638a0",
 
   | 
||
| 
     X"d83f745f",
 
   | 
||
| 
     X"a83f745f",
 
   | 
||
| 
     X"78ff1b70",
 
   | 
||
| 
     X"585d5880",
 
   | 
||
| 
     X"7a259538",
 
   | 
||
| ... | ... | |
| 
     X"800b83e7",
 
   | 
||
| 
     X"c00c800b",
 
   | 
||
| 
     X"83e7e40c",
 
   | 
||
| 
     X"80f3d051",
 
   | 
||
| 
     X"8d8f3f81",
 
   | 
||
| 
     X"80f39851",
 
   | 
||
| 
     X"8d8d3f81",
 
   | 
||
| 
     X"800b83e7",
 
   | 
||
| 
     X"e40c80f3",
 
   | 
||
| 
     X"d8518d81",
 
   | 
||
| 
     X"a0518cff",
 
   | 
||
| 
     X"3fa80b83",
 
   | 
||
| 
     X"e7c00c76",
 
   | 
||
| 
     X"802e80e4",
 
   | 
||
| ... | ... | |
| 
     X"e4ad3f83",
 
   | 
||
| 
     X"e0800880",
 
   | 
||
| 
     X"2e883880",
 
   | 
||
| 
     X"f3e0518c",
 
   | 
||
| 
     X"c83f7651",
 
   | 
||
| 
     X"f3a8518c",
 
   | 
||
| 
     X"c63f7651",
 
   | 
||
| 
     X"e3ef3f83",
 
   | 
||
| 
     X"e0800852",
 
   | 
||
| 
     X"80f4ec51",
 
   | 
||
| 
     X"8cb73f76",
 
   | 
||
| 
     X"80f4b451",
 
   | 
||
| 
     X"8cb53f76",
 
   | 
||
| 
     X"51e3f73f",
 
   | 
||
| 
     X"83e08008",
 
   | 
||
| 
     X"83e7c008",
 
   | 
||
| ... | ... | |
| 
     X"3f83e080",
 
   | 
||
| 
     X"08785255",
 
   | 
||
| 
     X"e3cd3f80",
 
   | 
||
| 
     X"f3e85483",
 
   | 
||
| 
     X"f3b05483",
 
   | 
||
| 
     X"e080088d",
 
   | 
||
| 
     X"38873980",
 
   | 
||
| 
     X"763481d0",
 
   | 
||
| 
     X"3980f3e4",
 
   | 
||
| 
     X"763481ce",
 
   | 
||
| 
     X"3980f3ac",
 
   | 
||
| 
     X"54745373",
 
   | 
||
| 
     X"5280f3b8",
 
   | 
||
| 
     X"518bd63f",
 
   | 
||
| 
     X"5280f380",
 
   | 
||
| 
     X"518bd43f",
 
   | 
||
| 
     X"805480f3",
 
   | 
||
| 
     X"c0518bcd",
 
   | 
||
| 
     X"88518bcb",
 
   | 
||
| 
     X"3f811454",
 
   | 
||
| 
     X"73a82e09",
 
   | 
||
| 
     X"8106ef38",
 
   | 
||
| 
     X"868da051",
 
   | 
||
| 
     X"9cdd3f80",
 
   | 
||
| 
     X"9cad3f80",
 
   | 
||
| 
     X"52903d70",
 
   | 
||
| 
     X"525780c0",
 
   | 
||
| 
     X"ae3f8352",
 
   | 
||
| 
     X"765180c0",
 
   | 
||
| 
     X"a63f6281",
 
   | 
||
| 
     X"8f386180",
 
   | 
||
| 
     X"2e80fb38",
 
   | 
||
| 
     X"7b5473ff",
 
   | 
||
| 
     X"2e963878",
 
   | 
||
| 
     X"802e8189",
 
   | 
||
| 
     X"387851e2",
 
   | 
||
| 
     X"d13f83e0",
 
   | 
||
| 
     X"8008ff15",
 
   | 
||
| 
     X"5559e739",
 
   | 
||
| 
     X"78802e80",
 
   | 
||
| 
     X"f4387851",
 
   | 
||
| 
     X"e2cd3f83",
 
   | 
||
| 
     X"e0800880",
 
   | 
||
| 
     X"2efc8e38",
 
   | 
||
| 
     X"7851e295",
 
   | 
||
| 
     X"5257bffa",
 
   | 
||
| 
     X"3f835276",
 
   | 
||
| 
     X"51bff33f",
 
   | 
||
| 
     X"62818f38",
 
   | 
||
| 
     X"61802e80",
 
   | 
||
| 
     X"fb387b54",
 
   | 
||
| 
     X"73ff2e96",
 
   | 
||
| 
     X"3878802e",
 
   | 
||
| 
     X"81893878",
 
   | 
||
| 
     X"51e2d33f",
 
   | 
||
| 
     X"83e08008",
 
   | 
||
| 
     X"ff155559",
 
   | 
||
| 
     X"e7397880",
 
   | 
||
| 
     X"2e80f438",
 
   | 
||
| 
     X"7851e2cf",
 
   | 
||
| 
     X"3f83e080",
 
   | 
||
| 
     X"085280f3",
 
   | 
||
| 
     X"b45183e3",
 
   | 
||
| 
     X"3f83e080",
 
   | 
||
| 
     X"08a3387c",
 
   | 
||
| 
     X"51859b3f",
 
   | 
||
| 
     X"08802efc",
 
   | 
||
| 
     X"90387851",
 
   | 
||
| 
     X"e2973f83",
 
   | 
||
| 
     X"e0800852",
 
   | 
||
| 
     X"80f2fc51",
 
   | 
||
| 
     X"83e33f83",
 
   | 
||
| 
     X"e08008a3",
 
   | 
||
| 
     X"387c5185",
 
   | 
||
| 
     X"9b3f83e0",
 
   | 
||
| 
     X"80085574",
 
   | 
||
| 
     X"ff165654",
 
   | 
||
| 
     X"807425ae",
 
   | 
||
| 
     X"38741d70",
 
   | 
||
| 
     X"33555673",
 
   | 
||
| 
     X"af2efecf",
 
   | 
||
| 
     X"38e93978",
 
   | 
||
| 
     X"51e1d83f",
 
   | 
||
| 
     X"83e08008",
 
   | 
||
| 
     X"5574ff16",
 
   | 
||
| 
     X"56548074",
 
   | 
||
| 
     X"25ae3874",
 
   | 
||
| 
     X"1d703355",
 
   | 
||
| 
     X"5673af2e",
 
   | 
||
| 
     X"fecd38e9",
 
   | 
||
| 
     X"397851e1",
 
   | 
||
| 
     X"d63f83e0",
 
   | 
||
| 
     X"8008527c",
 
   | 
||
| 
     X"5184d33f",
 
   | 
||
| 
     X"8f397f88",
 
   | 
||
| 
     X"29601005",
 
   | 
||
| 
     X"7a056105",
 
   | 
||
| 
     X"5afc9039",
 
   | 
||
| 
     X"62802efb",
 
   | 
||
| 
     X"d1388052",
 
   | 
||
| 
     X"7651bf87",
 
   | 
||
| 
     X"3fa33d0d",
 
   | 
||
| 
     X"04803d0d",
 
   | 
||
| 
     X"9088b833",
 
   | 
||
| 
     X"7081ff06",
 
   | 
||
| 
     X"70842a81",
 
   | 
||
| 
     X"32708106",
 
   | 
||
| 
     X"51515151",
 
   | 
||
| 
     X"70802e8d",
 
   | 
||
| 
     X"38a80b90",
 
   | 
||
| 
     X"88b834b8",
 
   | 
||
| 
     X"0b9088b8",
 
   | 
||
| 
     X"347083e0",
 
   | 
||
| 
     X"800c823d",
 
   | 
||
| 
     X"0d04803d",
 
   | 
||
| 
     X"0d9088b8",
 
   | 
||
| 
     X"337081ff",
 
   | 
||
| 
     X"0670852a",
 
   | 
||
| 
     X"81327081",
 
   | 
||
| 
     X"06515151",
 
   | 
||
| 
     X"5170802e",
 
   | 
||
| 
     X"8d38980b",
 
   | 
||
| 
     X"9088b834",
 
   | 
||
| 
     X"b80b9088",
 
   | 
||
| 
     X"b8347083",
 
   | 
||
| 
     X"e0800c82",
 
   | 
||
| 
     X"3d0d0493",
 
   | 
||
| 
     X"0b9088bc",
 
   | 
||
| 
     X"34ff0b90",
 
   | 
||
| 
     X"88a83404",
 
   | 
||
| 
     X"ff3d0d02",
 
   | 
||
| 
     X"8f053352",
 
   | 
||
| 
     X"800b9088",
 
   | 
||
| 
     X"bc348a51",
 
   | 
||
| 
     X"9aa53fdf",
 
   | 
||
| 
     X"3f80f80b",
 
   | 
||
| 
     X"9088a034",
 
   | 
||
| 
     X"800b9088",
 
   | 
||
| 
     X"8834fa12",
 
   | 
||
| 
     X"52719088",
 
   | 
||
| 
     X"8034800b",
 
   | 
||
| 
     X"90889834",
 
   | 
||
| 
     X"71908890",
 
   | 
||
| 
     X"349088b8",
 
   | 
||
| 
     X"52807234",
 
   | 
||
| 
     X"b8723483",
 
   | 
||
| 
     X"527c5184",
 
   | 
||
| 
     X"d33f8f39",
 
   | 
||
| 
     X"7f882960",
 
   | 
||
| 
     X"10057a05",
 
   | 
||
| 
     X"61055afc",
 
   | 
||
| 
     X"92396280",
 
   | 
||
| 
     X"2efbd338",
 
   | 
||
| 
     X"80527651",
 
   | 
||
| 
     X"bed43fa3",
 
   | 
||
| 
     X"3d0d0480",
 
   | 
||
| 
     X"3d0d028b",
 
   | 
||
| 
     X"05335170",
 
   | 
||
| 
     X"9088b434",
 
   | 
||
| 
     X"febf3f83",
 
   | 
||
| 
     X"e0800880",
 
   | 
||
| 
     X"2ef63882",
 
   | 
||
| 
     X"3d0d0480",
 
   | 
||
| 
     X"3d0d8439",
 
   | 
||
| 
     X"a3fc3ffe",
 
   | 
||
| 
     X"d93f83e0",
 
   | 
||
| 
     X"8008802e",
 
   | 
||
| 
     X"f3389088",
 
   | 
||
| 
     X"b4337081",
 
   | 
||
| 
     X"ff0683e0",
 
   | 
||
| 
     X"800c5182",
 
   | 
||
| 
     X"3d0d0480",
 
   | 
||
| 
     X"3d0da30b",
 
   | 
||
| 
     X"9088bc34",
 
   | 
||
| 
     X"ff0b9088",
 
   | 
||
| 
     X"a8349088",
 
   | 
||
| 
     X"b851a871",
 
   | 
||
| 
     X"34b87134",
 
   | 
||
| 
     X"823d0d04",
 
   | 
||
| 
     X"803d0d90",
 
   | 
||
| 
     X"88bc3370",
 
   | 
||
| 
     X"81c00670",
 
   | 
||
| 
     X"30708025",
 
   | 
||
| 
     X"83e0800c",
 
   | 
||
| 
     X"51515182",
 
   | 
||
| 
     X"3d0d0480",
 
   | 
||
| 
     X"3d0d9088",
 
   | 
||
| 
     X"b8337081",
 
   | 
||
| 
     X"ff067083",
 
   | 
||
| 
     X"ff067084",
 
   | 
||
| 
     X"2a813270",
 
   | 
||
| 
     X"81065151",
 
   | 
||
| 
     X"51517080",
 
   | 
||
| 
     X"2ee838b0",
 
   | 
||
| 
     X"2e8d38a8",
 
   | 
||
| 
     X"0b9088b8",
 
   | 
||
| 
     X"34b80b90",
 
   | 
||
| 
     X"88b83482",
 
   | 
||
| 
     X"3d0d0480",
 
   | 
||
| 
     X"3d0d9080",
 
   | 
||
| 
     X"ac088106",
 
   | 
||
| 
     X"88b83470",
 
   | 
||
| 
     X"83e0800c",
 
   | 
||
| 
     X"823d0d04",
 
   | 
||
| 
     X"fd3d0d75",
 
   | 
||
| 
     X"77545480",
 
   | 
||
| 
     X"73259438",
 
   | 
||
| 
     X"73708105",
 
   | 
||
| 
     X"55335280",
 
   | 
||
| 
     X"f3ec5187",
 
   | 
||
| 
     X"843fff13",
 
   | 
||
| 
     X"53e93985",
 
   | 
||
| 
     X"3d0d04fd",
 
   | 
||
| 
     X"3d0d7577",
 
   | 
||
| 
     X"53547333",
 
   | 
||
| 
     X"51708938",
 
   | 
||
| 
     X"71335170",
 
   | 
||
| 
     X"802ea138",
 
   | 
||
| 
     X"73337233",
 
   | 
||
| 
     X"52537271",
 
   | 
||
| 
     X"278538ff",
 
   | 
||
| 
     X"51943970",
 
   | 
||
| 
     X"73278538",
 
   | 
||
| 
     X"81518b39",
 
   | 
||
| 
     X"81148113",
 
   | 
||
| 
     X"5354d339",
 
   | 
||
| 
     X"80517083",
 
   | 
||
| 
     X"e0800c85",
 
   | 
||
| 
     X"3d0d04fd",
 
   | 
||
| 
     X"3d0d7577",
 
   | 
||
| 
     X"54547233",
 
   | 
||
| 
     X"803d0d90",
 
   | 
||
| 
     X"88b83370",
 
   | 
||
| 
     X"81ff0670",
 
   | 
||
| 
     X"852a8132",
 
   | 
||
| 
     X"70810651",
 
   | 
||
| 
     X"51515170",
 
   | 
||
| 
     X"802e8d38",
 
   | 
||
| 
     X"980b9088",
 
   | 
||
| 
     X"b834b80b",
 
   | 
||
| 
     X"9088b834",
 
   | 
||
| 
     X"7083e080",
 
   | 
||
| 
     X"0c823d0d",
 
   | 
||
| 
     X"04930b90",
 
   | 
||
| 
     X"88bc34ff",
 
   | 
||
| 
     X"0b9088a8",
 
   | 
||
| 
     X"3404ff3d",
 
   | 
||
| 
     X"0d028f05",
 
   | 
||
| 
     X"3352800b",
 
   | 
||
| 
     X"9088bc34",
 
   | 
||
| 
     X"8a5199f7",
 
   | 
||
| 
     X"3fdf3f80",
 
   | 
||
| 
     X"f80b9088",
 
   | 
||
| 
     X"a034800b",
 
   | 
||
| 
     X"90888834",
 
   | 
||
| 
     X"fa125271",
 
   | 
||
| 
     X"90888034",
 
   | 
||
| 
     X"800b9088",
 
   | 
||
| 
     X"98347190",
 
   | 
||
| 
     X"88903490",
 
   | 
||
| 
     X"88b85280",
 
   | 
||
| 
     X"7234b872",
 
   | 
||
| 
     X"34833d0d",
 
   | 
||
| 
     X"04803d0d",
 
   | 
||
| 
     X"028b0533",
 
   | 
||
| 
     X"51709088",
 
   | 
||
| 
     X"b434febf",
 
   | 
||
| 
     X"3f83e080",
 
   | 
||
| 
     X"08802ef6",
 
   | 
||
| 
     X"38823d0d",
 
   | 
||
| 
     X"04803d0d",
 
   | 
||
| 
     X"8439a3ce",
 
   | 
||
| 
     X"3ffed93f",
 
   | 
||
| 
     X"83e08008",
 
   | 
||
| 
     X"802ef338",
 
   | 
||
| 
     X"9088b433",
 
   | 
||
| 
     X"7081ff06",
 
   | 
||
| 
     X"52527080",
 
   | 
||
| 
     X"2ea33871",
 
   | 
||
| 
     X"81ff0681",
 
   | 
||
| 
     X"14ffbf12",
 
   | 
||
| 
     X"53545270",
 
   | 
||
| 
     X"99268938",
 
   | 
||
| 
     X"a0127081",
 
   | 
||
| 
     X"ff065351",
 
   | 
||
| 
     X"71747081",
 
   | 
||
| 
     X"055634d2",
 
   | 
||
| 
     X"39807434",
 
   | 
||
| 
     X"853d0d04",
 
   | 
||
| 
     X"ffbd3d0d",
 
   | 
||
| 
     X"80c63d08",
 
   | 
||
| 
     X"52a53d70",
 
   | 
||
| 
     X"5254ffb3",
 
   | 
||
| 
     X"3f80c73d",
 
   | 
||
| 
     X"0852853d",
 
   | 
||
| 
     X"705253ff",
 
   | 
||
| 
     X"a63f7252",
 
   | 
||
| 
     X"7351fedf",
 
   | 
||
| 
     X"3f80c53d",
 
   | 
||
| 
     X"0d04fe3d",
 
   | 
||
| 
     X"0d747653",
 
   | 
||
| 
     X"53717081",
 
   | 
||
| 
     X"05533351",
 
   | 
||
| 
     X"70737081",
 
   | 
||
| 
     X"05553470",
 
   | 
||
| 
     X"f038843d",
 
   | 
||
| 
     X"0d04fe3d",
 
   | 
||
| 
     X"0d745280",
 
   | 
||
| 
     X"83e0800c",
 
   | 
||
| 
     X"51823d0d",
 
   | 
||
| 
     X"04803d0d",
 
   | 
||
| 
     X"a30b9088",
 
   | 
||
| 
     X"bc34ff0b",
 
   | 
||
| 
     X"9088a834",
 
   | 
||
| 
     X"9088b851",
 
   | 
||
| 
     X"a87134b8",
 
   | 
||
| 
     X"7134823d",
 
   | 
||
| 
     X"0d04803d",
 
   | 
||
| 
     X"0d9088bc",
 
   | 
||
| 
     X"337081c0",
 
   | 
||
| 
     X"06703070",
 
   | 
||
| 
     X"802583e0",
 
   | 
||
| 
     X"800c5151",
 
   | 
||
| 
     X"51823d0d",
 
   | 
||
| 
     X"04803d0d",
 
   | 
||
| 
     X"9088b833",
 
   | 
||
| 
     X"7081ff06",
 
   | 
||
| 
     X"70832a81",
 
   | 
||
| 
     X"32708106",
 
   | 
||
| 
     X"51515151",
 
   | 
||
| 
     X"70802ee8",
 
   | 
||
| 
     X"38b00b90",
 
   | 
||
| 
     X"88b834b8",
 
   | 
||
| 
     X"0b9088b8",
 
   | 
||
| 
     X"34823d0d",
 
   | 
||
| 
     X"04803d0d",
 
   | 
||
| 
     X"9080ac08",
 
   | 
||
| 
     X"810683e0",
 
   | 
||
| 
     X"800c823d",
 
   | 
||
| 
     X"0d04fd3d",
 
   | 
||
| 
     X"0d757754",
 
   | 
||
| 
     X"54807325",
 
   | 
||
| 
     X"94387370",
 
   | 
||
| 
     X"81055533",
 
   | 
||
| 
     X"5280f3b4",
 
   | 
||
| 
     X"5187843f",
 
   | 
||
| 
     X"ff1353e9",
 
   | 
||
| 
     X"39853d0d",
 
   | 
||
| 
     X"04fd3d0d",
 
   | 
||
| 
     X"75775354",
 
   | 
||
| 
     X"73335170",
 
   | 
||
| 
     X"89387133",
 
   | 
||
| 
     X"5170802e",
 
   | 
||
| 
     X"a1387333",
 
   | 
||
| 
     X"72335253",
 
   | 
||
| 
     X"70732e8d",
 
   | 
||
| 
     X"38811281",
 
   | 
||
| 
     X"14713353",
 
   | 
||
| 
     X"545270f5",
 
   | 
||
| 
     X"387283e0",
 
   | 
||
| 
     X"800c843d",
 
   | 
||
| 
     X"0d04f63d",
 
   | 
||
| 
     X"0d7c7e60",
 
   | 
||
| 
     X"625a5d5b",
 
   | 
||
| 
     X"56805981",
 
   | 
||
| 
     X"55853974",
 
   | 
||
| 
     X"7a295574",
 
   | 
||
| 
     X"527551b7",
 
   | 
||
| 
     X"b13f83e0",
 
   | 
||
| 
     X"80087a27",
 
   | 
||
| 
     X"ee387480",
 
   | 
||
| 
     X"2e80dd38",
 
   | 
||
| 
     X"74527551",
 
   | 
||
| 
     X"b79c3f83",
 
   | 
||
| 
     X"e0800875",
 
   | 
||
| 
     X"53765254",
 
   | 
||
| 
     X"b7a03f83",
 
   | 
||
| 
     X"e080087a",
 
   | 
||
| 
     X"53755256",
 
   | 
||
| 
     X"b7843f83",
 
   | 
||
| 
     X"e0800879",
 
   | 
||
| 
     X"30707b07",
 
   | 
||
| 
     X"9f2a7077",
 
   | 
||
| 
     X"80240751",
 
   | 
||
| 
     X"51545572",
 
   | 
||
| 
     X"873883e0",
 
   | 
||
| 
     X"8008c538",
 
   | 
||
| 
     X"768118b0",
 
   | 
||
| 
     X"16555858",
 
   | 
||
| 
     X"8974258b",
 
   | 
||
| 
     X"38b71453",
 
   | 
||
| 
     X"7a853880",
 
   | 
||
| 
     X"d7145372",
 
   | 
||
| 
     X"78348119",
 
   | 
||
| 
     X"59ff9f39",
 
   | 
||
| 
     X"8077348c",
 
   | 
||
| 
     X"3d0d04f7",
 
   | 
||
| 
     X"3d0d7b7d",
 
   | 
||
| 
     X"7f620290",
 
   | 
||
| 
     X"05bb0533",
 
   | 
||
| 
     X"5759565a",
 
   | 
||
| 
     X"5ab05872",
 
   | 
||
| 
     X"8338a058",
 
   | 
||
| 
     X"75707081",
 
   | 
||
| 
     X"05523371",
 
   | 
||
| 
     X"59545590",
 
   | 
||
| 
     X"39807425",
 
   | 
||
| 
     X"8e38ff14",
 
   | 
||
| 
     X"77708105",
 
   | 
||
| 
     X"59335454",
 
   | 
||
| 
     X"72ef3873",
 
   | 
||
| 
     X"ff155553",
 
   | 
||
| 
     X"80732589",
 
   | 
||
| 
     X"38775279",
 
   | 
||
| 
     X"51782def",
 
   | 
||
| 
     X"39753375",
 
   | 
||
| 
     X"57537280",
 
   | 
||
| 
     X"2e903872",
 
   | 
||
| 
     X"72712785",
 
   | 
||
| 
     X"38ff5194",
 
   | 
||
| 
     X"39707327",
 
   | 
||
| 
     X"85388151",
 
   | 
||
| 
     X"8b398114",
 
   | 
||
| 
     X"81135354",
 
   | 
||
| 
     X"d3398051",
 
   | 
||
| 
     X"7083e080",
 
   | 
||
| 
     X"0c853d0d",
 
   | 
||
| 
     X"04fd3d0d",
 
   | 
||
| 
     X"75775454",
 
   | 
||
| 
     X"72337081",
 
   | 
||
| 
     X"ff065252",
 
   | 
||
| 
     X"70802ea3",
 
   | 
||
| 
     X"387181ff",
 
   | 
||
| 
     X"068114ff",
 
   | 
||
| 
     X"bf125354",
 
   | 
||
| 
     X"52709926",
 
   | 
||
| 
     X"8938a012",
 
   | 
||
| 
     X"7081ff06",
 
   | 
||
| 
     X"53517174",
 
   | 
||
| 
     X"70810556",
 
   | 
||
| 
     X"34d23980",
 
   | 
||
| 
     X"7434853d",
 
   | 
||
| 
     X"0d04ffbd",
 
   | 
||
| 
     X"3d0d80c6",
 
   | 
||
| 
     X"3d0852a5",
 
   | 
||
| 
     X"3d705254",
 
   | 
||
| 
     X"ffb33f80",
 
   | 
||
| 
     X"c73d0852",
 
   | 
||
| 
     X"853d7052",
 
   | 
||
| 
     X"53ffa63f",
 
   | 
||
| 
     X"72527351",
 
   | 
||
| 
     X"fedf3f80",
 
   | 
||
| 
     X"c53d0d04",
 
   | 
||
| 
     X"fe3d0d74",
 
   | 
||
| 
     X"76535371",
 
   | 
||
| 
     X"70810553",
 
   | 
||
| 
     X"33517073",
 
   | 
||
| 
     X"70810555",
 
   | 
||
| 
     X"3470f038",
 
   | 
||
| 
     X"843d0d04",
 
   | 
||
| 
     X"fe3d0d74",
 
   | 
||
| 
     X"52807233",
 
   | 
||
| 
     X"52537073",
 
   | 
||
| 
     X"2e8d3881",
 
   | 
||
| 
     X"12811471",
 
   | 
||
| 
     X"33535452",
 
   | 
||
| 
     X"70f53872",
 
   | 
||
| 
     X"83e0800c",
 
   | 
||
| 
     X"843d0d04",
 
   | 
||
| 
     X"f63d0d7c",
 
   | 
||
| 
     X"7e60625a",
 
   | 
||
| 
     X"5d5b5680",
 
   | 
||
| 
     X"59815585",
 
   | 
||
| 
     X"39747a29",
 
   | 
||
| 
     X"55745275",
 
   | 
||
| 
     X"51b6fe3f",
 
   | 
||
| 
     X"83e08008",
 
   | 
||
| 
     X"7a27ee38",
 
   | 
||
| 
     X"74802e80",
 
   | 
||
| 
     X"dd387452",
 
   | 
||
| 
     X"7551b6e9",
 
   | 
||
| 
     X"3f83e080",
 
   | 
||
| 
     X"08755376",
 
   | 
||
| 
     X"5254b6ed",
 
   | 
||
| 
     X"3f83e080",
 
   | 
||
| 
     X"087a5375",
 
   | 
||
| 
     X"5256b6d1",
 
   | 
||
| 
     X"3f83e080",
 
   | 
||
| 
     X"08793070",
 
   | 
||
| 
     X"7b079f2a",
 
   | 
||
| 
     X"70778024",
 
   | 
||
| 
     X"07515154",
 
   | 
||
| 
     X"55728738",
 
   | 
||
| 
     X"83e08008",
 
   | 
||
| 
     X"c5387681",
 
   | 
||
| 
     X"18b01655",
 
   | 
||
| 
     X"58588974",
 
   | 
||
| 
     X"258b38b7",
 
   | 
||
| 
     X"14537a85",
 
   | 
||
| 
     X"3880d714",
 
   | 
||
| 
     X"53727834",
 
   | 
||
| 
     X"811959ff",
 
   | 
||
| 
     X"9f398077",
 
   | 
||
| 
     X"348c3d0d",
 
   | 
||
| 
     X"04f73d0d",
 
   | 
||
| 
     X"7b7d7f62",
 
   | 
||
| 
     X"029005bb",
 
   | 
||
| 
     X"05335759",
 
   | 
||
| 
     X"565a5ab0",
 
   | 
||
| 
     X"58728338",
 
   | 
||
| 
     X"a0587570",
 
   | 
||
| 
     X"70810552",
 
   | 
||
| 
     X"33715954",
 
   | 
||
| 
     X"55903980",
 
   | 
||
| 
     X"74258e38",
 
   | 
||
| 
     X"ff147770",
 
   | 
||
| 
     X"81055933",
 
   | 
||
| 
     X"545472ef",
 
   | 
||
| 
     X"3873ff15",
 
   | 
||
| 
     X"55538073",
 
   | 
||
| 
     X"25893877",
 
   | 
||
| 
     X"52795178",
 
   | 
||
| 
     X"2d757081",
 
   | 
||
| 
     X"05573353",
 
   | 
||
| 
     X"ed398b3d",
 
   | 
||
| 
     X"0d04ee3d",
 
   | 
||
| 
     X"0d646669",
 
   | 
||
| 
     X"69707081",
 
   | 
||
| 
     X"0552335b",
 
   | 
||
| 
     X"4a5c5e5e",
 
   | 
||
| 
     X"76802e82",
 
   | 
||
| 
     X"f93876a5",
 
   | 
||
| 
     X"2e098106",
 
   | 
||
| 
     X"82e03880",
 
   | 
||
| 
     X"70416770",
 
   | 
||
| 
     X"2def3975",
 
   | 
||
| 
     X"33755753",
 
   | 
||
| 
     X"72802e90",
 
   | 
||
| 
     X"38725279",
 
   | 
||
| 
     X"51782d75",
 
   | 
||
| 
     X"70810557",
 
   | 
||
| 
     X"3353ed39",
 
   | 
||
| 
     X"8b3d0d04",
 
   | 
||
| 
     X"ee3d0d64",
 
   | 
||
| 
     X"66696970",
 
   | 
||
| 
     X"70810552",
 
   | 
||
| 
     X"33714a59",
 
   | 
||
| 
     X"575f76b0",
 
   | 
||
| 
     X"2e098106",
 
   | 
||
| 
     X"8c387570",
 
   | 
||
| 
     X"81055733",
 
   | 
||
| 
     X"76485781",
 
   | 
||
| 
     X"5fd01756",
 
   | 
||
| 
     X"75892680",
 
   | 
||
| 
     X"da387667",
 
   | 
||
| 
     X"5c59805c",
 
   | 
||
| 
     X"9339778a",
 
   | 
||
| 
     X"2480c338",
 
   | 
||
| 
     X"7b8a2918",
 
   | 
||
| 
     X"7b708105",
 
   | 
||
| 
     X"5d335a5c",
 
   | 
||
| 
     X"d0197081",
 
   | 
||
| 
     X"ff065858",
 
   | 
||
| 
     X"897727a4",
 
   | 
||
| 
     X"38ff9f19",
 
   | 
||
| 
     X"335b4a5c",
 
   | 
||
| 
     X"5e5e7680",
 
   | 
||
| 
     X"2e82f938",
 
   | 
||
| 
     X"76a52e09",
 
   | 
||
| 
     X"810682e0",
 
   | 
||
| 
     X"38807041",
 
   | 
||
| 
     X"67707081",
 
   | 
||
| 
     X"05523371",
 
   | 
||
| 
     X"4a59575f",
 
   | 
||
| 
     X"76b02e09",
 
   | 
||
| 
     X"81068c38",
 
   | 
||
| 
     X"75708105",
 
   | 
||
| 
     X"57337648",
 
   | 
||
| 
     X"57815fd0",
 
   | 
||
| 
     X"17567589",
 
   | 
||
| 
     X"2680da38",
 
   | 
||
| 
     X"76675c59",
 
   | 
||
| 
     X"805c9339",
 
   | 
||
| 
     X"778a2480",
 
   | 
||
| 
     X"c3387b8a",
 
   | 
||
| 
     X"29187b70",
 
   | 
||
| 
     X"81055d33",
 
   | 
||
| 
     X"5a5cd019",
 
   | 
||
| 
     X"7081ff06",
 
   | 
||
| 
     X"ffa91b5a",
 
   | 
||
| 
     X"51568576",
 
   | 
||
| 
     X"279238ff",
 
   | 
||
| 
     X"bf197081",
 
   | 
||
| 
     X"ff065156",
 
   | 
||
| 
     X"7585268a",
 
   | 
||
| 
     X"38c91958",
 
   | 
||
| 
     X"778025ff",
 
   | 
||
| 
     X"b9387a47",
 
   | 
||
| 
     X"7b407881",
 
   | 
||
| 
     X"ff065776",
 
   | 
||
| 
     X"80e42e80",
 
   | 
||
| 
     X"e5387680",
 
   | 
||
| 
     X"e424a738",
 
   | 
||
| 
     X"7680d82e",
 
   | 
||
| 
     X"81863876",
 
   | 
||
| 
     X"80d82490",
 
   | 
||
| 
     X"3876802e",
 
   | 
||
| 
     X"81cc3876",
 
   | 
||
| 
     X"a52e81b6",
 
   | 
||
| 
     X"3881b939",
 
   | 
||
| 
     X"7680e32e",
 
   | 
||
| 
     X"818c3881",
 
   | 
||
| 
     X"af397680",
 
   | 
||
| 
     X"f52e9b38",
 
   | 
||
| 
     X"7680f524",
 
   | 
||
| 
     X"8b387680",
 
   | 
||
| 
     X"f32e8181",
 
   | 
||
| 
     X"38819939",
 
   | 
||
| 
     X"7680f82e",
 
   | 
||
| 
     X"80ca3881",
 
   | 
||
| 
     X"8f39913d",
 
   | 
||
| 
     X"70555780",
 
   | 
||
| 
     X"538a5279",
 
   | 
||
| 
     X"841b7108",
 
   | 
||
| 
     X"535b56fc",
 
   | 
||
| 
     X"813f7655",
 
   | 
||
| 
     X"ab397984",
 
   | 
||
| 
     X"1b710894",
 
   | 
||
| 
     X"3d705b5b",
 
   | 
||
| 
     X"525b5675",
 
   | 
||
| 
     X"80258c38",
 
   | 
||
| 
     X"753056ad",
 
   | 
||
| 
     X"78340280",
 
   | 
||
| 
     X"c1055776",
 
   | 
||
| 
     X"5480538a",
 
   | 
||
| 
     X"527551fb",
 
   | 
||
| 
     X"d53f7755",
 
   | 
||
| 
     X"7e54b839",
 
   | 
||
| 
     X"58588977",
 
   | 
||
| 
     X"27a438ff",
 
   | 
||
| 
     X"9f197081",
 
   | 
||
| 
     X"ff06ffa9",
 
   | 
||
| 
     X"1b5a5156",
 
   | 
||
| 
     X"85762792",
 
   | 
||
| 
     X"38ffbf19",
 
   | 
||
| 
     X"7081ff06",
 
   | 
||
| 
     X"51567585",
 
   | 
||
| 
     X"268a38c9",
 
   | 
||
| 
     X"19587780",
 
   | 
||
| 
     X"25ffb938",
 
   | 
||
| 
     X"7a477b40",
 
   | 
||
| 
     X"7881ff06",
 
   | 
||
| 
     X"577680e4",
 
   | 
||
| 
     X"2e80e538",
 
   | 
||
| 
     X"7680e424",
 
   | 
||
| 
     X"a7387680",
 
   | 
||
| 
     X"d82e8186",
 
   | 
||
| 
     X"387680d8",
 
   | 
||
| 
     X"24903876",
 
   | 
||
| 
     X"802e81cc",
 
   | 
||
| 
     X"3876a52e",
 
   | 
||
| 
     X"81b63881",
 
   | 
||
| 
     X"b9397680",
 
   | 
||
| 
     X"e32e818c",
 
   | 
||
| 
     X"3881af39",
 
   | 
||
| 
     X"7680f52e",
 
   | 
||
| 
     X"9b387680",
 
   | 
||
| 
     X"f5248b38",
 
   | 
||
| 
     X"7680f32e",
 
   | 
||
| 
     X"81813881",
 
   | 
||
| 
     X"99397680",
 
   | 
||
| 
     X"f82e80ca",
 
   | 
||
| 
     X"38818f39",
 
   | 
||
| 
     X"913d7055",
 
   | 
||
| 
     X"7780d832",
 
   | 
||
| 
     X"70307080",
 
   | 
||
| 
     X"25565158",
 
   | 
||
| 
     X"56905279",
 
   | 
||
| 
     X"5780538a",
 
   | 
||
| 
     X"5279841b",
 
   | 
||
| 
     X"7108535b",
 
   | 
||
| 
     X"56fc813f",
 
   | 
||
| 
     X"7655ab39",
 
   | 
||
| 
     X"79841b71",
 
   | 
||
| 
     X"08943d70",
 
   | 
||
| 
     X"5b5b525b",
 
   | 
||
| 
     X"56758025",
 
   | 
||
| 
     X"8c387530",
 
   | 
||
| 
     X"56ad7834",
 
   | 
||
| 
     X"0280c105",
 
   | 
||
| 
     X"57765480",
 
   | 
||
| 
     X"538a5275",
 
   | 
||
| 
     X"51fbd53f",
 
   | 
||
| 
     X"77557e54",
 
   | 
||
| 
     X"b839913d",
 
   | 
||
| 
     X"70557780",
 
   | 
||
| 
     X"d8327030",
 
   | 
||
| 
     X"70802556",
 
   | 
||
| 
     X"51585690",
 
   | 
||
| 
     X"5279841b",
 
   | 
||
| 
     X"7108535b",
 
   | 
||
| 
     X"57fbb13f",
 
   | 
||
| 
     X"7555db39",
 
   | 
||
| 
     X"79841b83",
 
   | 
||
| 
     X"1233545b",
 
   | 
||
| 
     X"56983979",
 
   | 
||
| 
     X"841b7108",
 
   | 
||
| 
     X"535b57fb",
 
   | 
||
| 
     X"b13f7555",
 
   | 
||
| 
     X"db397984",
 
   | 
||
| 
     X"1b831233",
 
   | 
||
| 
     X"545b5698",
 
   | 
||
| 
     X"3979841b",
 
   | 
||
| 
     X"7108575b",
 
   | 
||
| 
     X"5680547f",
 
   | 
||
| 
     X"537c527d",
 
   | 
||
| 
     X"51fc9c3f",
 
   | 
||
| 
     X"87397652",
 
   | 
||
| 
     X"7d517c2d",
 
   | 
||
| 
     X"66703358",
 
   | 
||
| 
     X"810547fd",
 
   | 
||
| 
     X"8339943d",
 
   | 
||
| 
     X"0d047283",
 
   | 
||
| 
     X"e0940c71",
 
   | 
||
| 
     X"83e0980c",
 
   | 
||
| 
     X"04fb3d0d",
 
   | 
||
| 
     X"883d7070",
 
   | 
||
| 
     X"84055208",
 
   | 
||
| 
     X"57547553",
 
   | 
||
| 
     X"83e09408",
 
   | 
||
| 
     X"5283e098",
 
   | 
||
| 
     X"0851fcc6",
 
   | 
||
| 
     X"3f873d0d",
 
   | 
||
| 
     X"04ff3d0d",
 
   | 
||
| 
     X"73700853",
 
   | 
||
| 
     X"51029305",
 
   | 
||
| 
     X"33723470",
 
   | 
||
| 
     X"08810571",
 
   | 
||
| 
     X"0c833d0d",
 
   | 
||
| 
     X"04fc3d0d",
 
   | 
||
| 
     X"873d8811",
 
   | 
||
| 
     X"557854bc",
 
   | 
||
| 
     X"c55351fc",
 
   | 
||
| 
     X"993f8052",
 
   | 
||
| 
     X"873d51d1",
 
   | 
||
| 
     X"3f863d0d",
 
   | 
||
| 
     X"04fc3d0d",
 
   | 
||
| 
     X"76557483",
 
   | 
||
| 
     X"e398082e",
 
   | 
||
| 
     X"af388053",
 
   | 
||
| 
     X"745187c1",
 
   | 
||
| 
     X"3f83e080",
 
   | 
||
| 
     X"0881ff06",
 
   | 
||
| 
     X"ff147081",
 
   | 
||
| 
     X"ff067230",
 
   | 
||
| 
     X"709f2a51",
 
   | 
||
| 
     X"52555354",
 
   | 
||
| 
     X"72802e84",
 
   | 
||
| 
     X"3871dd38",
 
   | 
||
| 
     X"73fe3874",
 
   | 
||
| 
     X"83e3980c",
 
   | 
||
| 
     X"575b5680",
 
   | 
||
| 
     X"547f537c",
 
   | 
||
| 
     X"527d51fc",
 
   | 
||
| 
     X"9c3f8739",
 
   | 
||
| 
     X"76527d51",
 
   | 
||
| 
     X"7c2d6670",
 
   | 
||
| 
     X"33588105",
 
   | 
||
| 
     X"47fd8339",
 
   | 
||
| 
     X"943d0d04",
 
   | 
||
| 
     X"7283e094",
 
   | 
||
| 
     X"0c7183e0",
 
   | 
||
| 
     X"980c04fb",
 
   | 
||
| 
     X"3d0d883d",
 
   | 
||
| 
     X"70708405",
 
   | 
||
| 
     X"52085754",
 
   | 
||
| 
     X"755383e0",
 
   | 
||
| 
     X"94085283",
 
   | 
||
| 
     X"e0980851",
 
   | 
||
| 
     X"fcc63f87",
 
   | 
||
| 
     X"3d0d04ff",
 
   | 
||
| 
     X"3d0d7370",
 
   | 
||
| 
     X"08535102",
 
   | 
||
| 
     X"93053372",
 
   | 
||
| 
     X"34700881",
 
   | 
||
| 
     X"05710c83",
 
   | 
||
| 
     X"3d0d04fc",
 
   | 
||
| 
     X"3d0d873d",
 
   | 
||
| 
     X"88115578",
 
   | 
||
| 
     X"54bcc353",
 
   | 
||
| 
     X"51fc993f",
 
   | 
||
| 
     X"8052873d",
 
   | 
||
| 
     X"51d13f86",
 
   | 
||
| 
     X"3d0d04fc",
 
   | 
||
| 
     X"3d0d7655",
 
   | 
||
| 
     X"7483e398",
 
   | 
||
| 
     X"082eaf38",
 
   | 
||
| 
     X"80537451",
 
   | 
||
| 
     X"87c13f83",
 
   | 
||
| 
     X"e0800881",
 
   | 
||
| 
     X"ff06ff14",
 
   | 
||
| 
     X"7081ff06",
 
   | 
||
| 
     X"7230709f",
 
   | 
||
| 
     X"2a515255",
 
   | 
||
| 
     X"53547280",
 
   | 
||
| 
     X"2e843871",
 
   | 
||
| 
     X"dd3873fe",
 
   | 
||
| 
     X"387483e3",
 
   | 
||
| 
     X"980c863d",
 
   | 
||
| 
     X"0d04ff3d",
 
   | 
||
| 
     X"0dff0b83",
 
   | 
||
| 
     X"e3980c84",
 
   | 
||
| 
     X"a53f8151",
 
   | 
||
| 
     X"87853f83",
 
   | 
||
| 
     X"e0800881",
 
   | 
||
| 
     X"ff065271",
 
   | 
||
| 
     X"ee3881d3",
 
   | 
||
| 
     X"3f7183e0",
 
   | 
||
| 
     X"800c833d",
 
   | 
||
| 
     X"0d04fc3d",
 
   | 
||
| 
     X"0d760284",
 
   | 
||
| 
     X"05a20522",
 
   | 
||
| 
     X"028805a6",
 
   | 
||
| 
     X"05227a54",
 
   | 
||
| 
     X"555555ff",
 
   | 
||
| 
     X"823f7280",
 
   | 
||
| 
     X"2ea03883",
 
   | 
||
| 
     X"e3ac1433",
 
   | 
||
| 
     X"75708105",
 
   | 
||
| 
     X"57348114",
 
   | 
||
| 
     X"7083ffff",
 
   | 
||
| 
     X"06ff1570",
 
   | 
||
| 
     X"83ffff06",
 
   | 
||
| 
     X"56525552",
 
   | 
||
| 
     X"dd39800b",
 
   | 
||
| 
     X"83e0800c",
 
   | 
||
| 
     X"863d0d04",
 
   | 
||
| 
     X"ff3d0dff",
 
   | 
||
| 
     X"0b83e398",
 
   | 
||
| 
     X"0c84a53f",
 
   | 
||
| 
     X"81518785",
 
   | 
||
| 
     X"3f83e080",
 
   | 
||
| 
     X"0881ff06",
 
   | 
||
| 
     X"5271ee38",
 
   | 
||
| 
     X"81d33f71",
 
   | 
||
| 
     X"83e0800c",
 
   | 
||
| 
     X"833d0d04",
 
   | 
||
| 
     X"fc3d0d76",
 
   | 
||
| 
     X"028405a2",
 
   | 
||
| 
     X"05220288",
 
   | 
||
| 
     X"05a60522",
 
   | 
||
| 
     X"7a545555",
 
   | 
||
| 
     X"55ff823f",
 
   | 
||
| 
     X"72802ea0",
 
   | 
||
| 
     X"3883e3ac",
 
   | 
||
| 
     X"14337570",
 
   | 
||
| 
     X"81055734",
 
   | 
||
| 
     X"81147083",
 
   | 
||
| 
     X"ffff06ff",
 
   | 
||
| 
     X"157083ff",
 
   | 
||
| 
     X"ff065652",
 
   | 
||
| 
     X"5552dd39",
 
   | 
||
| 
     X"800b83e0",
 
   | 
||
| 
     X"800c863d",
 
   | 
||
| 
     X"0d04fc3d",
 
   | 
||
| 
     X"0d76787a",
 
   | 
||
| 
     X"11565355",
 
   | 
||
| 
     X"80537174",
 
   | 
||
| 
     X"2e933872",
 
   | 
||
| 
     X"15517033",
 
   | 
||
| 
     X"83e3ac13",
 
   | 
||
| 
     X"34811281",
 
   | 
||
| 
     X"145452ea",
 
   | 
||
| 
     X"39800b83",
 
   | 
||
| 
     X"e0800c86",
 
   | 
||
| 
     X"3d0d04fd",
 
   | 
||
| 
     X"3d0d9054",
 
   | 
||
| 
     X"83e39808",
 
   | 
||
| 
     X"5186f43f",
 
   | 
||
| 
     X"787a1156",
 
   | 
||
| 
     X"53558053",
 
   | 
||
| 
     X"71742e93",
 
   | 
||
| 
     X"38721551",
 
   | 
||
| 
     X"703383e3",
 
   | 
||
| 
     X"ac133481",
 
   | 
||
| 
     X"12811454",
 
   | 
||
| 
     X"52ea3980",
 
   | 
||
| 
     X"0b83e080",
 
   | 
||
| 
     X"0c863d0d",
 
   | 
||
| 
     X"04fd3d0d",
 
   | 
||
| 
     X"905483e3",
 
   | 
||
| 
     X"98085186",
 
   | 
||
| 
     X"f43f83e0",
 
   | 
||
| 
     X"800881ff",
 
   | 
||
| 
     X"06ff1571",
 
   | 
||
| 
     X"30713070",
 
   | 
||
| 
     X"73079f2a",
 
   | 
||
| 
     X"729f2a06",
 
   | 
||
| 
     X"52555255",
 
   | 
||
| 
     X"5372db38",
 
   | 
||
| 
     X"853d0d04",
 
   | 
||
| 
     X"803d0d83",
 
   | 
||
| 
     X"e3a40810",
 
   | 
||
| 
     X"83e39c08",
 
   | 
||
| 
     X"079080a8",
 
   | 
||
| 
     X"0c823d0d",
 
   | 
||
| 
     X"04800b83",
 
   | 
||
| 
     X"e3a40ce4",
 
   | 
||
| 
     X"3f04810b",
 
   | 
||
| 
     X"83e3a40c",
 
   | 
||
| 
     X"db3f04ed",
 
   | 
||
| 
     X"3f047183",
 
   | 
||
| 
     X"e3a00c04",
 
   | 
||
| 
     X"803d0d80",
 
   | 
||
| 
     X"51f43f81",
 
   | 
||
| 
     X"0b83e3a4",
 
   | 
||
| 
     X"0c810b83",
 
   | 
||
| 
     X"e39c0cff",
 
   | 
||
| 
     X"bb3f823d",
 
   | 
||
| 
     X"0d04803d",
 
   | 
||
| 
     X"0d723070",
 
   | 
||
| 
     X"74078025",
 
   | 
||
| 
     X"83e39c0c",
 
   | 
||
| 
     X"51ffa53f",
 
   | 
||
| 
     X"823d0d04",
 
   | 
||
| 
     X"803d0d02",
 
   | 
||
| 
     X"8b053390",
 
   | 
||
| 
     X"80a40c90",
 
   | 
||
| 
     X"80a80870",
 
   | 
||
| 
     X"81065151",
 
   | 
||
| 
     X"70f53890",
 
   | 
||
| 
     X"80a40870",
 
   | 
||
| 
     X"81ff0683",
 
   | 
||
| 
     X"e0800c51",
 
   | 
||
| 
     X"823d0d04",
 
   | 
||
| 
     X"803d0d81",
 
   | 
||
| 
     X"ff51d13f",
 
   | 
||
| 
     X"83e08008",
 
   | 
||
| 
     X"81ff06ff",
 
   | 
||
| 
     X"15713071",
 
   | 
||
| 
     X"30707307",
 
   | 
||
| 
     X"9f2a729f",
 
   | 
||
| 
     X"2a065255",
 
   | 
||
| 
     X"52555372",
 
   | 
||
| 
     X"db38853d",
 
   | 
||
| 
     X"0d04803d",
 
   | 
||
| 
     X"0d83e3a4",
 
   | 
||
| 
     X"081083e3",
 
   | 
||
| 
     X"9c080790",
 
   | 
||
| 
     X"80a80c82",
 
   | 
||
| 
     X"81ff0683",
 
   | 
||
| 
     X"e0800c82",
 
   | 
||
| 
     X"3d0d0480",
 
   | 
||
| 
     X"0b83e3a4",
 
   | 
||
| 
     X"0ce43f04",
 
   | 
||
| 
     X"810b83e3",
 
   | 
||
| 
     X"a40cdb3f",
 
   | 
||
| 
     X"04ed3f04",
 
   | 
||
| 
     X"7183e3a0",
 
   | 
||
| 
     X"0c04803d",
 
   | 
||
| 
     X"0d8051f4",
 
   | 
||
| 
     X"3f810b83",
 
   | 
||
| 
     X"e3a40c81",
 
   | 
||
| 
     X"0b83e39c",
 
   | 
||
| 
     X"0cffbb3f",
 
   | 
||
| 
     X"823d0d04",
 
   | 
||
| 
     X"803d0d72",
 
   | 
||
| 
     X"30707407",
 
   | 
||
| 
     X"802583e3",
 
   | 
||
| 
     X"9c0c51ff",
 
   | 
||
| 
     X"a53f823d",
 
   | 
||
| 
     X"0d04803d",
 
   | 
||
| 
     X"0d028b05",
 
   | 
||
| 
     X"339080a4",
 
   | 
||
| 
     X"0c9080a8",
 
   | 
||
| 
     X"08708106",
 
   | 
||
| 
     X"515170f5",
 
   | 
||
| 
     X"389080a4",
 
   | 
||
| 
     X"087081ff",
 
   | 
||
| 
     X"0683e080",
 
   | 
||
| 
     X"0c51823d",
 
   | 
||
| 
     X"0d04803d",
 
   | 
||
| 
     X"0d81ff51",
 
   | 
||
| 
     X"d13f83e0",
 
   | 
||
| 
     X"800881ff",
 
   | 
||
| 
     X"0683e080",
 
   | 
||
| 
     X"0c823d0d",
 
   | 
||
| 
     X"04803d0d",
 
   | 
||
| 
     X"73902b73",
 
   | 
||
| 
     X"079080b4",
 
   | 
||
| 
     X"0c823d0d",
 
   | 
||
| 
     X"0404fb3d",
 
   | 
||
| 
     X"0d780284",
 
   | 
||
| 
     X"059f0533",
 
   | 
||
| 
     X"70982b55",
 
   | 
||
| 
     X"57557280",
 
   | 
||
| 
     X"259b3875",
 
   | 
||
| 
     X"80ff0656",
 
   | 
||
| 
     X"805280f7",
 
   | 
||
| 
     X"51e03f83",
 
   | 
||
| 
     X"e0800881",
 
   | 
||
| 
     X"ff065473",
 
   | 
||
| 
     X"812680ff",
 
   | 
||
| 
     X"388051fe",
 
   | 
||
| 
     X"e73fffa2",
 
   | 
||
| 
     X"3f8151fe",
 
   | 
||
| 
     X"df3fff9a",
 
   | 
||
| 
     X"3f7551fe",
 
   | 
||
| 
     X"ed3f7498",
 
   | 
||
| 
     X"2a51fee6",
 
   | 
||
| 
     X"3f74902a",
 
   | 
||
| 
     X"7081ff06",
 
   | 
||
| 
     X"5253feda",
 
   | 
||
| 
     X"3f74882a",
 
   | 
||
| 
     X"7081ff06",
 
   | 
||
| 
     X"5253fece",
 
   | 
||
| 
     X"3f7481ff",
 
   | 
||
| 
     X"0651fec6",
 
   | 
||
| 
     X"3f815575",
 
   | 
||
| 
     X"80c02e09",
 
   | 
||
| 
     X"81068638",
 
   | 
||
| 
     X"8195558d",
 
   | 
||
| 
     X"397580c8",
 
   | 
||
| 
     X"3d0d7390",
 
   | 
||
| 
     X"2b730790",
 
   | 
||
| 
     X"80b40c82",
 
   | 
||
| 
     X"3d0d0404",
 
   | 
||
| 
     X"fb3d0d78",
 
   | 
||
| 
     X"0284059f",
 
   | 
||
| 
     X"05337098",
 
   | 
||
| 
     X"2b555755",
 
   | 
||
| 
     X"7280259b",
 
   | 
||
| 
     X"387580ff",
 
   | 
||
| 
     X"06568052",
 
   | 
||
| 
     X"80f751e0",
 
   | 
||
| 
     X"3f83e080",
 
   | 
||
| 
     X"0881ff06",
 
   | 
||
| 
     X"54738126",
 
   | 
||
| 
     X"80ff3880",
 
   | 
||
| 
     X"51fee73f",
 
   | 
||
| 
     X"ffa23f81",
 
   | 
||
| 
     X"51fedf3f",
 
   | 
||
| 
     X"ff9a3f75",
 
   | 
||
| 
     X"51feed3f",
 
   | 
||
| 
     X"74982a51",
 
   | 
||
| 
     X"fee63f74",
 
   | 
||
| 
     X"902a7081",
 
   | 
||
| 
     X"ff065253",
 
   | 
||
| 
     X"feda3f74",
 
   | 
||
| 
     X"882a7081",
 
   | 
||
| 
     X"ff065253",
 
   | 
||
| 
     X"fece3f74",
 
   | 
||
| 
     X"81ff0651",
 
   | 
||
| 
     X"fec63f81",
 
   | 
||
| 
     X"557580c0",
 
   | 
||
| 
     X"2e098106",
 
   | 
||
| 
     X"84388187",
 
   | 
||
| 
     X"557451fe",
 
   | 
||
| 
     X"a53f8a55",
 
   | 
||
| 
     X"fec83f83",
 
   | 
||
| 
     X"e0800881",
 
   | 
||
| 
     X"ff067098",
 
   | 
||
| 
     X"2b545472",
 
   | 
||
| 
     X"80258c38",
 
   | 
||
Split the concept of hsync, vsync and composite sync. When composite sync used tie vsync high. The offset hsync pulse of pal/hsync is only present for 15khz composite sync. Remove rom selection since its unused.