Revision 325
Added by markw over 10 years ago
papilioduo/pll/pll/implement/xst.prj | ||
---|---|---|
vhdl work ../../pll.vhd
|
||
vhdl work ../example_design/pll_exdes.vhd
|
papilioduo/pll/pll/example_design/pll_exdes.xdc | ||
---|---|---|
# file: pll_exdes.xdc
|
||
#
|
||
# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
|
||
#
|
||
# This file contains confidential and proprietary information
|
||
# of Xilinx, Inc. and is protected under U.S. and
|
||
# international copyright and other intellectual property
|
||
# laws.
|
||
#
|
||
# DISCLAIMER
|
||
# This disclaimer is not a license and does not grant any
|
||
# rights to the materials distributed herewith. Except as
|
||
# otherwise provided in a valid license issued to you by
|
||
# Xilinx, and to the maximum extent permitted by applicable
|
||
# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
|
||
# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
|
||
# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
|
||
# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
|
||
# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
|
||
# (2) Xilinx shall not be liable (whether in contract or tort,
|
||
# including negligence, or under any other theory of
|
||
# liability) for any loss or damage of any kind or nature
|
||
# related to, arising under or in connection with these
|
||
# materials, including for any direct, or any indirect,
|
||
# special, incidental, or consequential loss or damage
|
||
# (including loss of data, profits, goodwill, or any type of
|
||
# loss or damage suffered as a result of any action brought
|
||
# by a third party) even if such damage or loss was
|
||
# reasonably foreseeable or Xilinx had been advised of the
|
||
# possibility of the same.
|
||
#
|
||
# CRITICAL APPLICATIONS
|
||
# Xilinx products are not designed or intended to be fail-
|
||
# safe, or for use in any application requiring fail-safe
|
||
# performance, such as life-support or safety devices or
|
||
# systems, Class III medical devices, nuclear facilities,
|
||
# applications related to the deployment of airbags, or any
|
||
# other applications that could lead to death, personal
|
||
# injury, or severe property or environmental damage
|
||
# (individually and collectively, "Critical
|
||
# Applications"). Customer assumes the sole risk and
|
||
# liability of any use of Xilinx products in Critical
|
||
# Applications, subject only to applicable laws and
|
||
# regulations governing limitations on product liability.
|
||
#
|
||
# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
|
||
# PART OF THIS FILE AT ALL TIMES.
|
||
#
|
||
|
||
# Input clock periods. These duplicate the values entered for the
|
||
# input clocks. You can use these to time your system
|
||
#----------------------------------------------------------------
|
||
create_clock -name CLK_IN1 -period 20.000 [get_ports CLK_IN1]
|
||
set_propagated_clock CLK_IN1
|
||
set_input_jitter CLK_IN1 0.2
|
||
|
||
# FALSE PATH constraint added on COUNTER_RESET
|
||
set_false_path -from [get_ports "COUNTER_RESET"]
|
||
|
||
# Derived clock periods. These are commented out because they are
|
||
# automatically propogated by the tools
|
||
# However, if you'd like to use them for module level testing, you
|
||
# can copy them into your module level timing checks
|
||
#-----------------------------------------------------------------
|
||
|
||
#-----------------------------------------------------------------
|
||
|
||
#-----------------------------------------------------------------
|
papilioduo/pll/pll/simulation/functional/simulate_isim.bat | ||
---|---|---|
REM file: simulate_isim.bat
|
||
REM
|
||
REM (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
|
||
REM
|
||
REM This file contains confidential and proprietary information
|
||
REM of Xilinx, Inc. and is protected under U.S. and
|
||
REM international copyright and other intellectual property
|
||
REM laws.
|
||
REM
|
||
REM DISCLAIMER
|
||
REM This disclaimer is not a license and does not grant any
|
||
REM rights to the materials distributed herewith. Except as
|
||
REM otherwise provided in a valid license issued to you by
|
||
REM Xilinx, and to the maximum extent permitted by applicable
|
||
REM law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
|
||
REM WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
|
||
REM AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
|
||
REM BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
|
||
REM INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
|
||
REM (2) Xilinx shall not be liable (whether in contract or tort,
|
||
REM including negligence, or under any other theory of
|
||
REM liability) for any loss or damage of any kind or nature
|
||
REM related to, arising under or in connection with these
|
||
REM materials, including for any direct, or any indirect,
|
||
REM special, incidental, or consequential loss or damage
|
||
REM (including loss of data, profits, goodwill, or any type of
|
||
REM loss or damage suffered as a result of any action brought
|
||
REM by a third party) even if such damage or loss was
|
||
REM reasonably foreseeable or Xilinx had been advised of the
|
||
REM possibility of the same.
|
||
REM
|
||
REM CRITICAL APPLICATIONS
|
||
REM Xilinx products are not designed or intended to be fail-
|
||
REM safe, or for use in any application requiring fail-safe
|
||
REM performance, such as life-support or safety devices or
|
||
REM systems, Class III medical devices, nuclear facilities,
|
||
REM applications related to the deployment of airbags, or any
|
||
REM other applications that could lead to death, personal
|
||
REM injury, or severe property or environmental damage
|
||
REM (individually and collectively, "Critical
|
||
REM Applications"). Customer assumes the sole risk and
|
||
REM liability of any use of Xilinx products in Critical
|
||
REM Applications, subject only to applicable laws and
|
||
REM regulations governing limitations on product liability.
|
||
REM
|
||
REM THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
|
||
REM PART OF THIS FILE AT ALL TIMES.
|
||
REM
|
||
|
||
vhpcomp -work work ..\..\..\pll.vhd
|
||
vhpcomp -work work ..\..\example_design\pll_exdes.vhd
|
||
vhpcomp -work work ..\pll_tb.vhd
|
||
|
||
REM compile the project
|
||
fuse work.pll_tb -L unisim -o pll_isim.exe
|
||
|
||
REM run the simulation script
|
||
.\pll_isim.exe -gui -tclbatch simcmds.tcl
|
papilioduo/pll/pll/implement/implement.sh | ||
---|---|---|
#!/bin/sh
|
||
# file: implement.sh
|
||
#
|
||
# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
|
||
#
|
||
# This file contains confidential and proprietary information
|
||
# of Xilinx, Inc. and is protected under U.S. and
|
||
# international copyright and other intellectual property
|
||
# laws.
|
||
#
|
||
# DISCLAIMER
|
||
# This disclaimer is not a license and does not grant any
|
||
# rights to the materials distributed herewith. Except as
|
||
# otherwise provided in a valid license issued to you by
|
||
# Xilinx, and to the maximum extent permitted by applicable
|
||
# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
|
||
# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
|
||
# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
|
||
# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
|
||
# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
|
||
# (2) Xilinx shall not be liable (whether in contract or tort,
|
||
# including negligence, or under any other theory of
|
||
# liability) for any loss or damage of any kind or nature
|
||
# related to, arising under or in connection with these
|
||
# materials, including for any direct, or any indirect,
|
||
# special, incidental, or consequential loss or damage
|
||
# (including loss of data, profits, goodwill, or any type of
|
||
# loss or damage suffered as a result of any action brought
|
||
# by a third party) even if such damage or loss was
|
||
# reasonably foreseeable or Xilinx had been advised of the
|
||
# possibility of the same.
|
||
#
|
||
# CRITICAL APPLICATIONS
|
||
# Xilinx products are not designed or intended to be fail-
|
||
# safe, or for use in any application requiring fail-safe
|
||
# performance, such as life-support or safety devices or
|
||
# systems, Class III medical devices, nuclear facilities,
|
||
# applications related to the deployment of airbags, or any
|
||
# other applications that could lead to death, personal
|
||
# injury, or severe property or environmental damage
|
||
# (individually and collectively, "Critical
|
||
# Applications"). Customer assumes the sole risk and
|
||
# liability of any use of Xilinx products in Critical
|
||
# Applications, subject only to applicable laws and
|
||
# regulations governing limitations on product liability.
|
||
#
|
||
# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
|
||
# PART OF THIS FILE AT ALL TIMES.
|
||
#
|
||
|
||
#-----------------------------------------------------------------------------
|
||
# Script to synthesize and implement the RTL provided for the clocking wizard
|
||
#-----------------------------------------------------------------------------
|
||
|
||
# Clean up the results directory
|
||
rm -rf results
|
||
mkdir results
|
||
|
||
# Copy unisim_comp.v file to results directory
|
||
cp $XILINX/verilog/src/iSE/unisim_comp.v ./results/
|
||
|
||
# Synthesize the Verilog Wrapper Files
|
||
echo 'Synthesizing Clocking Wizard design with XST'
|
||
xst -ifn xst.scr
|
||
mv pll_exdes.ngc results/
|
||
|
||
# Copy the constraints files generated by Coregen
|
||
echo 'Copying files from constraints directory to results directory'
|
||
cp ../example_design/pll_exdes.ucf results/
|
||
|
||
cd results
|
||
|
||
echo 'Running ngdbuild'
|
||
ngdbuild -uc pll_exdes.ucf pll_exdes
|
||
|
||
echo 'Running map'
|
||
map -timing pll_exdes -o mapped.ncd
|
||
|
||
echo 'Running par'
|
||
par -w mapped.ncd routed mapped.pcf
|
||
|
||
echo 'Running trce'
|
||
trce -e 10 routed -o routed mapped.pcf
|
||
|
||
echo 'Running design through bitgen'
|
||
bitgen -w routed
|
||
|
||
echo 'Running netgen to create gate level model for the clocking wizard example design'
|
||
netgen -ofmt vhdl -sim -tm pll_exdes -w routed.ncd routed.vhd
|
||
|
||
cd ..
|
aeon_lite/build.sh | ||
---|---|---|
bitgen -intstyle ise -f $name.ut $name.ncd
|
||
|
||
popd
|
||
cp build/$name.bin core/atari800.bin
|
chameleon/zpu_rom.vhdl | ||
---|---|---|
X"00000000",
|
||
X"71fc0608",
|
||
X"0b0b80f3",
|
||
X"b0738306",
|
||
X"c0738306",
|
||
X"10100508",
|
||
X"060b0b0b",
|
||
X"88a20400",
|
||
... | ... | |
X"00000000",
|
||
X"00000000",
|
||
X"00000000",
|
||
X"810b80f6",
|
||
X"f00c5104",
|
||
X"810b80f7",
|
||
X"800c5104",
|
||
X"00000000",
|
||
X"00000000",
|
||
X"00000000",
|
||
... | ... | |
X"83e08008",
|
||
X"83e08408",
|
||
X"83e08808",
|
||
X"757580f0",
|
||
X"f02d5050",
|
||
X"757580f1",
|
||
X"802d5050",
|
||
X"83e08008",
|
||
X"5683e088",
|
||
X"0c83e084",
|
||
... | ... | |
X"e0800883",
|
||
X"e0840883",
|
||
X"e0880875",
|
||
X"7580f0af",
|
||
X"7580f0bf",
|
||
X"2d505083",
|
||
X"e0800856",
|
||
X"83e0880c",
|
||
... | ... | |
X"5a5a82d0",
|
||
X"5283e2bc",
|
||
X"085180df",
|
||
X"b23f83e0",
|
||
X"c23f83e0",
|
||
X"800857f9",
|
||
X"e13f7952",
|
||
X"83e2c451",
|
||
... | ... | |
X"09810682",
|
||
X"833883e0",
|
||
X"a0080b0b",
|
||
X"80f4e453",
|
||
X"80f4f453",
|
||
X"705256a6",
|
||
X"b63f0b0b",
|
||
X"80f4e452",
|
||
X"80f4f452",
|
||
X"80c01651",
|
||
X"a6a93f75",
|
||
X"bc170c73",
|
||
... | ... | |
X"5affab39",
|
||
X"76982b55",
|
||
X"74802587",
|
||
X"3880f2c0",
|
||
X"3880f2d0",
|
||
X"173357ff",
|
||
X"9f175574",
|
||
X"99268938",
|
||
... | ... | |
X"79842a90",
|
||
X"3d335471",
|
||
X"71315356",
|
||
X"5680d097",
|
||
X"5680d0a7",
|
||
X"3f83e080",
|
||
X"08820570",
|
||
X"881c0c83",
|
||
... | ... | |
X"56537680",
|
||
X"2eb73874",
|
||
X"52ff1651",
|
||
X"80cb983f",
|
||
X"80cba83f",
|
||
X"83e08008",
|
||
X"ff187654",
|
||
X"70535853",
|
||
X"80cb883f",
|
||
X"80cb983f",
|
||
X"83e08008",
|
||
X"73269638",
|
||
X"74307078",
|
||
... | ... | |
X"78902e09",
|
||
X"810680cd",
|
||
X"3802ab05",
|
||
X"3380f6f8",
|
||
X"0b80f6f8",
|
||
X"3380f788",
|
||
X"0b80f788",
|
||
X"33575856",
|
||
X"8c397476",
|
||
X"2e8a3884",
|
||
... | ... | |
X"0880c138",
|
||
X"7451e6b1",
|
||
X"3f83e080",
|
||
X"0880f4f4",
|
||
X"0880f584",
|
||
X"5383e080",
|
||
X"085253ff",
|
||
X"913f83e0",
|
||
X"8008a138",
|
||
X"80f4f852",
|
||
X"80f58852",
|
||
X"7251ff82",
|
||
X"3f83e080",
|
||
X"08923880",
|
||
X"f4fc5272",
|
||
X"f58c5272",
|
||
X"51fef33f",
|
||
X"83e08008",
|
||
X"802e8338",
|
||
... | ... | |
X"e0800833",
|
||
X"953d5654",
|
||
X"73963880",
|
||
X"f9f45274",
|
||
X"fa845274",
|
||
X"5189903f",
|
||
X"9a397d52",
|
||
X"7851defc",
|
||
... | ... | |
X"38800b83",
|
||
X"e7c00c80",
|
||
X"0b83e7e4",
|
||
X"0c80f580",
|
||
X"0c80f590",
|
||
X"518d8f3f",
|
||
X"81800b83",
|
||
X"e7e40c80",
|
||
X"f588518d",
|
||
X"f598518d",
|
||
X"813fa80b",
|
||
X"83e7c00c",
|
||
X"76802e80",
|
||
... | ... | |
X"56e3b83f",
|
||
X"83e08008",
|
||
X"802e8838",
|
||
X"80f59051",
|
||
X"80f5a051",
|
||
X"8cc83f76",
|
||
X"51e2fa3f",
|
||
X"83e08008",
|
||
X"5280f69c",
|
||
X"5280f6ac",
|
||
X"518cb73f",
|
||
X"7651e382",
|
||
X"3f83e080",
|
||
... | ... | |
X"b83f83e0",
|
||
X"80087852",
|
||
X"55e2d83f",
|
||
X"80f59854",
|
||
X"80f5a854",
|
||
X"83e08008",
|
||
X"8d388739",
|
||
X"80763481",
|
||
X"d03980f5",
|
||
X"94547453",
|
||
X"a4547453",
|
||
X"735280f4",
|
||
X"e8518bd6",
|
||
X"f8518bd6",
|
||
X"3f805480",
|
||
X"f4f0518b",
|
||
X"f580518b",
|
||
X"cd3f8114",
|
||
X"5473a82e",
|
||
X"098106ef",
|
||
... | ... | |
X"519cdd3f",
|
||
X"8052903d",
|
||
X"70525780",
|
||
X"c0ea3f83",
|
||
X"c0fa3f83",
|
||
X"52765180",
|
||
X"c0e23f62",
|
||
X"c0f23f62",
|
||
X"818f3861",
|
||
X"802e80fb",
|
||
X"387b5473",
|
||
... | ... | |
X"387851e1",
|
||
X"a03f83e0",
|
||
X"80085280",
|
||
X"f4e45183",
|
||
X"f4f45183",
|
||
X"e33f83e0",
|
||
X"8008a338",
|
||
X"7c51859b",
|
||
... | ... | |
X"3962802e",
|
||
X"fbd13880",
|
||
X"527651bf",
|
||
X"c33fa33d",
|
||
X"d33fa33d",
|
||
X"0d04803d",
|
||
X"0d9088b8",
|
||
X"337081ff",
|
||
... | ... | |
X"80732594",
|
||
X"38737081",
|
||
X"05553352",
|
||
X"80f59c51",
|
||
X"80f5ac51",
|
||
X"87843fff",
|
||
X"1353e939",
|
||
X"853d0d04",
|
||
... | ... | |
X"81558539",
|
||
X"747a2955",
|
||
X"74527551",
|
||
X"b7ed3f83",
|
||
X"b7fd3f83",
|
||
X"e080087a",
|
||
X"27ee3874",
|
||
X"802e80dd",
|
||
X"38745275",
|
||
X"51b7d83f",
|
||
X"51b7e83f",
|
||
X"83e08008",
|
||
X"75537652",
|
||
X"54b7dc3f",
|
||
X"54b7ec3f",
|
||
X"83e08008",
|
||
X"7a537552",
|
||
X"56b7c03f",
|
||
X"56b7d03f",
|
||
X"83e08008",
|
||
X"7930707b",
|
||
X"079f2a70",
|
||
... | ... | |
X"805383e7",
|
||
X"b4088c80",
|
||
X"055280f7",
|
||
X"ec51fea1",
|
||
X"fc51fea1",
|
||
X"3f860b87",
|
||
X"a8833480",
|
||
X"0b87a882",
|
||
... | ... | |
X"0df9e03f",
|
||
X"83e08008",
|
||
X"842980f8",
|
||
X"90057008",
|
||
X"a0057008",
|
||
X"83e0800c",
|
||
X"51823d0d",
|
||
X"04ed3d0d",
|
||
... | ... | |
X"0b83e7c0",
|
||
X"0c800b83",
|
||
X"e7e40c80",
|
||
X"f5e851ea",
|
||
X"f5f851ea",
|
||
X"a53f8180",
|
||
X"0b83e7e4",
|
||
X"0c80f5ec",
|
||
X"0c80f5fc",
|
||
X"51ea973f",
|
||
X"80d00b83",
|
||
X"e7c00c78",
|
||
... | ... | |
X"0c5155f8",
|
||
X"d13f83e0",
|
||
X"80085280",
|
||
X"f5f451e9",
|
||
X"f68451e9",
|
||
X"f13f80f8",
|
||
X"0b83e7c0",
|
||
X"0c788132",
|
||
... | ... | |
X"e40c5156",
|
||
X"56feef3f",
|
||
X"83e08008",
|
||
X"5280f680",
|
||
X"5280f690",
|
||
X"51e9c73f",
|
||
X"81a00b83",
|
||
X"e7c00c78",
|
||
... | ... | |
X"d8085256",
|
||
X"ffb6a63f",
|
||
X"83e08008",
|
||
X"5280f688",
|
||
X"5280f698",
|
||
X"51e9973f",
|
||
X"81f00b83",
|
||
X"e7c00c81",
|
||
... | ... | |
X"51578e3d",
|
||
X"7055ff1b",
|
||
X"54575757",
|
||
X"99eb3f79",
|
||
X"99fb3f79",
|
||
X"7084055b",
|
||
X"0851ffb5",
|
||
X"dc3f7454",
|
||
X"83e08008",
|
||
X"53775280",
|
||
X"f69051e8",
|
||
X"f6a051e8",
|
||
X"c93fa817",
|
||
X"83e7c00c",
|
||
X"81185877",
|
||
... | ... | |
X"83e7e40c",
|
||
X"515656f7",
|
||
X"f53f80f6",
|
||
X"a05583e0",
|
||
X"b05583e0",
|
||
X"8008802e",
|
||
X"8f3883e7",
|
||
X"d40851ff",
|
||
X"b5873f83",
|
||
X"e0800855",
|
||
X"745280f6",
|
||
X"a851e7f6",
|
||
X"b851e7f6",
|
||
X"3f83e00b",
|
||
X"83e7c00c",
|
||
X"78883270",
|
||
... | ... | |
X"80257087",
|
||
X"2b83e7e4",
|
||
X"0c515780",
|
||
X"f6b45255",
|
||
X"f6c45255",
|
||
X"e7d43f86",
|
||
X"8da051f8",
|
||
X"ef3f8052",
|
||
X"913d7052",
|
||
X"559cfd3f",
|
||
X"559d8d3f",
|
||
X"83527451",
|
||
X"9cf63f63",
|
||
X"9d863f63",
|
||
X"557482fa",
|
||
X"38611959",
|
||
X"78802585",
|
||
... | ... | |
X"87397888",
|
||
X"2682d938",
|
||
X"78822b55",
|
||
X"80f4c015",
|
||
X"80f4d015",
|
||
X"0804f5e2",
|
||
X"3f83e080",
|
||
X"08615755",
|
||
... | ... | |
X"0c83e7c4",
|
||
X"0cfd1a70",
|
||
X"5351558b",
|
||
X"f13f83e0",
|
||
X"f63f83e0",
|
||
X"80085680",
|
||
X"518be73f",
|
||
X"518bec3f",
|
||
X"83e08008",
|
||
X"52745187",
|
||
X"fe3f7552",
|
||
X"805187f7",
|
||
X"52745188",
|
||
X"833f7552",
|
||
X"805187fc",
|
||
X"3f80d539",
|
||
X"60558075",
|
||
X"25b63883",
|
||
... | ... | |
X"c00cf0b5",
|
||
X"3f83e7c4",
|
||
X"08528051",
|
||
X"85f53f81",
|
||
X"85fa3f81",
|
||
X"51f5a43f",
|
||
X"843d0d04",
|
||
X"fb3d0d80",
|
||
... | ... | |
X"8051ffb4",
|
||
X"9b3f83e0",
|
||
X"80088197",
|
||
X"3889c03f",
|
||
X"80f9e451",
|
||
X"3889c53f",
|
||
X"80f9f451",
|
||
X"ffb8da3f",
|
||
X"83e08008",
|
||
X"559c800a",
|
||
X"5480c080",
|
||
X"5380f6bc",
|
||
X"5380f6cc",
|
||
X"5283e080",
|
||
X"0851f6f8",
|
||
X"3f83e7d8",
|
||
X"085380f6",
|
||
X"cc527451",
|
||
X"dc527451",
|
||
X"ffb3a33f",
|
||
X"83e08008",
|
||
X"8438f786",
|
||
X"3f83e7dc",
|
||
X"085380f6",
|
||
X"d8527451",
|
||
X"e8527451",
|
||
X"ffb38b3f",
|
||
X"83e08008",
|
||
X"b638873d",
|
||
... | ... | |
X"e7bc3351",
|
||
X"f2843f81",
|
||
X"51f3f03f",
|
||
X"92de3f81",
|
||
X"92ee3f81",
|
||
X"51f3e83f",
|
||
X"8151fda1",
|
||
X"3ffa3983",
|
||
X"e08c0802",
|
||
X"83e08c0c",
|
||
X"fb3d0d02",
|
||
X"80f6e40b",
|
||
X"80f6f40b",
|
||
X"83e38c0c",
|
||
X"80f6e80b",
|
||
X"80f6f80b",
|
||
X"83e3840c",
|
||
X"80f6ec0b",
|
||
X"80f6fc0b",
|
||
X"83e3940c",
|
||
X"83e08c08",
|
||
X"fc050c80",
|
||
... | ... | |
X"d4349088",
|
||
X"d4337081",
|
||
X"ff065153",
|
||
X"728638f9",
|
||
X"fc3fef39",
|
||
X"80557416",
|
||
X"75822b54",
|
||
X"549088c0",
|
||
X"13337434",
|
||
X"81155574",
|
||
X"852e0981",
|
||
X"06e83881",
|
||
X"0b9088d4",
|
||
X"34753383",
|
||
X"e7f03481",
|
||
X"728b38f9",
|
||
X"fc3f8351",
|
||
X"efca3fea",
|
||
X"39805574",
|
||
X"1675822b",
|
||
X"54549088",
|
||
X"c0133374",
|
||
X"34811555",
|
||
X"74852e09",
|
||
X"8106e838",
|
||
X"810b9088",
|
||
X"d4347533",
|
||
X"83e7f034",
|
||
X"81163383",
|
||
X"e7f13482",
|
||
X"163383e7",
|
||
X"f1348216",
|
||
X"3383e7f2",
|
||
X"34831633",
|
||
X"83e7f334",
|
||
X"845283e7",
|
||
X"f051febf",
|
||
X"f2348316",
|
||
X"3383e7f3",
|
||
X"34845283",
|
||
X"e7f051fe",
|
||
X"ba3f83e0",
|
||
X"800881ff",
|
||
X"06841733",
|
||
X"57537276",
|
||
X"2e098106",
|
||
X"8c38d5d5",
|
||
X"3f83e080",
|
||
X"0881ff06",
|
||
X"84173357",
|
||
X"5372762e",
|
||
X"0981068c",
|
||
X"38d5da3f",
|
||
X"83e08008",
|
||
X"802e9a38",
|
||
X"83ea8c08",
|
||
X"a82e0981",
|
||
X"06893886",
|
||
X"0b83ea8c",
|
||
X"0c8739a8",
|
||
X"0b83ea8c",
|
||
X"0c80e451",
|
||
X"eeca3f87",
|
||
X"3d0d04f4",
|
||
X"3d0d7e60",
|
||
X"5955805d",
|
||
X"8075822b",
|
||
X"7183ea90",
|
||
X"120c83ea",
|
||
X"a4175b5b",
|
||
X"57767934",
|
||
X"77772e83",
|
||
X"b9387652",
|
||
X"7751ffac",
|
||
X"b93f8e3d",
|
||
X"fc055490",
|
||
X"5383e9f8",
|
||
X"08802e9a",
|
||
X"3883ea8c",
|
||
X"08a82e09",
|
||
X"81068938",
|
||
X"860b83ea",
|
||
X"8c0c8739",
|
||
X"a80b83ea",
|
||
X"8c0c80e4",
|
||
X"51eec53f",
|
||
X"873d0d04",
|
||
X"f43d0d7e",
|
||
X"60595580",
|
||
X"5d807582",
|
||
X"2b7183ea",
|
||
X"90120c83",
|
||
X"eaa4175b",
|
||
X"5b577679",
|
||
X"3477772e",
|
||
X"83b93876",
|
||
X"527751ff",
|
||
X"abf43f7c",
|
||
X"5675902e",
|
||
X"09810683",
|
||
X"953883e9",
|
||
X"f851fd9a",
|
||
X"3f83e9fa",
|
||
X"51fd933f",
|
||
X"83e9fc51",
|
||
X"fd8c3f76",
|
||
X"83ea880c",
|
||
X"7751ffa9",
|
||
X"c03f0b0b",
|
||
X"80f4f852",
|
||
X"acb43f8e",
|
||
X"3dfc0554",
|
||
X"905383e9",
|
||
X"f8527751",
|
||
X"ffabef3f",
|
||
X"7c567590",
|
||
X"2e098106",
|
||
X"83953883",
|
||
X"e9f851fd",
|
||
X"953f83e9",
|
||
X"fa51fd8e",
|
||
X"3f83e9fc",
|
||
X"51fd873f",
|
||
X"7683ea88",
|
||
X"0c7751ff",
|
||
X"a9bb3f0b",
|
||
X"0b80f588",
|
||
X"5283e080",
|
||
X"0851cbd2",
|
||
X"3f83e080",
|
||
X"08812e09",
|
||
X"810680d4",
|
||
X"387683ea",
|
||
X"a00c820b",
|
||
X"83e9f834",
|
||
X"ff960b83",
|
||
X"e9f93477",
|
||
X"51ffabff",
|
||
X"3f83e080",
|
||
X"085583e0",
|
||
X"80087725",
|
||
X"883883e0",
|
||
X"80088f05",
|
||
X"5574842c",
|
||
X"7083ffff",
|
||
X"0670882a",
|
||
X"58515575",
|
||
X"83e9fa34",
|
||
X"7483e9fb",
|
||
X"347683e9",
|
||
X"fc34ff80",
|
||
X"0b83e9fd",
|
||
X"34819039",
|
||
X"83e9f833",
|
||
X"83e9f933",
|
||
X"71882b07",
|
||
X"565b7483",
|
||
X"ffff2e09",
|
||
X"810680e8",
|
||
X"38fe800b",
|
||
X"83eaa00c",
|
||
X"810b83ea",
|
||
X"880cff0b",
|
||
X"83e9f834",
|
||
X"ff0b83e9",
|
||
X"f9347751",
|
||
X"ffab8c3f",
|
||
X"83e08008",
|
||
X"51cbd73f",
|
||
X"83eaa80c",
|
||
X"83e08008",
|
||
X"812e0981",
|
||
X"0680d438",
|
||
X"7683eaa0",
|
||
X"0c820b83",
|
||
X"e9f834ff",
|
||
X"960b83e9",
|
||
X"f9347751",
|
||
X"ffac843f",
|
||
X"83e08008",
|
||
X"5583e080",
|
||
X"08772588",
|
||
X"08802588",
|
||
X"3883e080",
|
||
X"088f0555",
|
||
X"74842c70",
|
||
... | ... | |
X"7683e9fc",
|
||
X"34ff800b",
|
||
X"83e9fd34",
|
||
X"81903983",
|
||
X"e9f83383",
|
||
X"e9f93371",
|
||
X"882b0756",
|
||
X"5b7483ff",
|
||
X"ff2e0981",
|
||
X"0680e838",
|
||
X"fe800b83",
|
||
X"eaa00c81",
|
||
X"0b83ea88",
|
||
X"0cff0b83",
|
||
X"e9f834ff",
|
||
X"0b83e9f9",
|
||
X"347751ff",
|
||
X"ab913f83",
|
||
X"e0800883",
|
||
X"eaa80c83",
|
||
X"e0800855",
|
||
X"83e08008",
|
||
X"80258838",
|
||
X"83e08008",
|
||
X"8f055574",
|
||
X"842c7083",
|
||
X"ffff0670",
|
||
X"882a5851",
|
||
X"557583e9",
|
||
X"fa347483",
|
||
X"e9fb3476",
|
||
X"83e9fc34",
|
||
X"ff800b83",
|
||
X"e9fd3481",
|
||
X"0b83ea87",
|
||
X"34a53974",
|
||
X"85962e09",
|
||
X"810680fe",
|
||
X"387583ea",
|
||
X"a00c7751",
|
||
X"ffaac53f",
|
||
X"810b83ea",
|
||
X"8734a539",
|
||
X"7485962e",
|
||
X"09810680",
|
||
X"fe387583",
|
||
X"eaa00c77",
|
||
X"51ffaac0",
|
||
X"3f83ea87",
|
||
X"3383e080",
|
||
X"08075574",
|
||
X"83ea8734",
|
||
X"83ea8733",
|
||
X"83e08008",
|
||
X"07557483",
|
||
X"ea873483",
|
||
X"ea873381",
|
||
X"06557480",
|
||
X"2e833884",
|
||
X"5783e9fc",
|
||
X"81065574",
|
||
X"802e8338",
|
||
X"845783e9",
|
||
X"fc3383e9",
|
||
X"fd337188",
|
||
X"2b07565c",
|
||
X"7481802e",
|
||
X"098106a1",
|
||
X"3883e9fa",
|
||
X"3383e9fb",
|
||
X"3371882b",
|
||
X"07565bad",
|
||
X"80752787",
|
||
X"38768207",
|
||
X"579c3976",
|
||
X"81075796",
|
||
X"39748280",
|
||
X"2e098106",
|
||
X"87387683",
|
||
X"07578739",
|
||
X"7481ff26",
|
||
X"8a387783",
|
||
X"ea901b0c",
|
||
X"7679348e",
|
||
X"3d0d0480",
|
||
X"3d0d7284",
|
||
X"2983ea90",
|
||
X"05700883",
|
||
X"e0800c51",
|
||
X"823d0d04",
|
||
X"fe3d0d80",
|
||
X"0b83e9f4",
|
||
X"0c800b83",
|
||
X"e9f00cff",
|
||
X"0b83e7ec",
|
||
X"0ca80b83",
|
||
X"ea8c0cae",
|
||
X"51cff63f",
|
||
X"800b83ea",
|
||
X"90545280",
|
||
X"73708405",
|
||
X"550c8112",
|
||
X"5271842e",
|
||
X"098106ef",
|
||
X"38843d0d",
|
||
X"04fe3d0d",
|
||
X"74028405",
|
||
X"96052253",
|
||
X"5371802e",
|
||
X"96387270",
|
||
X"81055433",
|
||
X"51d0813f",
|
||
X"ff127083",
|
||
X"ffff0651",
|
||
X"52e73984",
|
||
X"3d0d04fe",
|
||
X"3d0d0292",
|
||
X"05225382",
|
||
X"ac51e9d8",
|
||
X"3f80c351",
|
||
X"cfde3f81",
|
||
X"9651e9cc",
|
||
X"3f725283",
|
||
X"e7f051ff",
|
||
X"b43f7252",
|
||
X"83e7f051",
|
||
X"f8f13f83",
|
||
X"e0800881",
|
||
X"ff0651cf",
|
||
X"bb3f843d",
|
||
X"0d04ffb1",
|
||
X"3d0d80d1",
|
||
X"3df80551",
|
||
X"f99b3f83",
|
||
X"e9f40881",
|
||
X"0583e9f4",
|
||
X"0c80cf3d",
|
||
X"33cf1170",
|
||
X"81ff0651",
|
||
X"56567483",
|
||
X"2688e238",
|
||
X"758f06ff",
|
||
X"05567583",
|
||
X"e7ec082e",
|
||
X"9b387583",
|
||
X"26963875",
|
||
X"83e7ec0c",
|
||
X"75842983",
|
||
X"ea900570",
|
||
X"08535575",
|
||
X"51fa993f",
|
||
X"80762488",
|
||
X"be387584",
|
||
X"2983ea90",
|
||
X"05557408",
|
||
X"802e88af",
|
||
X"3883e7ec",
|
||
X"08842983",
|
||
X"ea900570",
|
||
X"08028805",
|
||
X"82b90533",
|
||
X"525b5574",
|
||
X"80d22e84",
|
||
X"ac387480",
|
||
X"d2249038",
|
||
X"74bf2e9c",
|
||
X"387480d0",
|
||
X"2e81d138",
|
||
X"87ee3974",
|
||
X"80d32e80",
|
||
X"cf387480",
|
||
X"d72e81c0",
|
||
X"3887dd39",
|
||
X"0282bb05",
|
||
X"33028405",
|
||
X"82ba0533",
|
||
X"71828029",
|
||
X"055656ce",
|
||
X"b73f80c1",
|
||
X"51cdf13f",
|
||
X"f6ed3f86",
|
||
X"0b83e7f0",
|
||
X"34815283",
|
||
X"e7f051cf",
|
||
X"943f8151",
|
||
X"fde93f74",
|
||
X"8938860b",
|
||
X"83ea8c0c",
|
||
X"8739a80b",
|
||
X"83ea8c0c",
|
||
X"ce863f80",
|
||
X"c151cdc0",
|
||
X"3ff6bc3f",
|
||
X"900b83ea",
|
||
X"87338106",
|
||
X"56567480",
|
||
X"2e833898",
|
||
X"5683e9fc",
|
||
X"3383e9fd",
|
||
X"3371882b",
|
||
X"07565c74",
|
||
X"07565974",
|
||
X"81802e09",
|
||
X"8106a138",
|
||
X"81069c38",
|
||
X"83e9fa33",
|
||
X"83e9fb33",
|
||
X"71882b07",
|
||
X"565bad80",
|
||
X"75278738",
|
||
X"76820757",
|
||
X"9c397681",
|
||
X"07579639",
|
||
X"7482802e",
|
||
X"09810687",
|
||
X"38768307",
|
||
X"57873974",
|
||
X"81ff268a",
|
||
X"387783ea",
|
||
X"901b0c76",
|
||
X"79348e3d",
|
||
X"0d04803d",
|
||
X"0d728429",
|
||
X"83ea9005",
|
||
X"700883e0",
|
||
X"800c5182",
|
||
X"3d0d04fe",
|
||
X"3d0d800b",
|
||
X"83e9f40c",
|
||
X"800b83e9",
|
||
X"f00cff0b",
|
||
X"83e7ec0c",
|
||
X"a80b83ea",
|
||
X"8c0cae51",
|
||
X"cffb3f80",
|
||
X"0b83ea90",
|
||
X"54528073",
|
||
X"70840555",
|
||
X"0c811252",
|
||
X"71842e09",
|
||
X"8106ef38",
|
||
X"843d0d04",
|
||
X"fe3d0d74",
|
||
X"02840596",
|
||
X"05225353",
|
||
X"71802e96",
|
||
X"38727081",
|
||
X"05543351",
|
||
X"d0863fff",
|
||
X"127083ff",
|
||
X"ff065152",
|
||
X"e739843d",
|
||
X"0d04fe3d",
|
||
X"0d029205",
|
||
X"225382ac",
|
||
X"51e9dd3f",
|
||
X"80c351cf",
|
||
X"e33f8196",
|
||
X"51e9d13f",
|
||
X"725283e7",
|
||
X"f051ffb4",
|
||
X"3f725283",
|
||
X"e7f051f8",
|
||
X"f63f83e0",
|
||
X"800881ff",
|
||
X"0651cfc0",
|
||
X"3f843d0d",
|
||
X"04ffb13d",
|
||
X"0d80d13d",
|
||
X"f80551f9",
|
||
X"a03f83e9",
|
||
X"f4088105",
|
||
X"83e9f40c",
|
||
X"80cf3d33",
|
||
X"cf117081",
|
||
X"ff065156",
|
||
X"56748326",
|
||
X"88d73875",
|
||
X"8f06ff05",
|
||
X"567583e7",
|
||
X"ec082e9b",
|
||
X"38758326",
|
||
X"96387583",
|
||
X"e7ec0c75",
|
||
X"842983ea",
|
||
X"90057008",
|
||
X"53557551",
|
||
X"fa993f80",
|
||
X"762488b3",
|
||
X"38758429",
|
||
X"83ea9005",
|
||
X"55740880",
|
||
X"2e88a438",
|
||
X"83e7ec08",
|
||
X"842983ea",
|
||
X"90057008",
|
||
X"02880582",
|
||
X"b9053352",
|
||
X"5b557480",
|
||
X"d22e84a7",
|
||
X"387480d2",
|
||
X"24903874",
|
||
X"bf2e9c38",
|
||
X"7480d02e",
|
||
X"81d13887",
|
||
X"e3397480",
|
||
X"d32e80cf",
|
||
X"387480d7",
|
||
X"2e81c038",
|
||
X"87d23902",
|
||
X"82bb0533",
|
||
X"02840582",
|
||
X"ba053371",
|
||
X"82802905",
|
||
X"5656cebc",
|
||
X"3f80c151",
|
||
X"cdf63ff6",
|
||
X"f23f860b",
|
||
X"5657ad80",
|
||
X"75278c38",
|
||
X"75818007",
|
||
X"56853975",
|
||
X"a0075675",
|
||
X"83e7f034",
|
||
X"815283e7",
|
||
X"f051cf99",
|
||
X"3f8151fd",
|
||
X"e93f7489",
|
||
X"38860b83",
|
||
X"ea8c0c87",
|
||
X"39a80b83",
|
||
X"ea8c0cce",
|
||
X"8b3f80c1",
|
||
X"51cdc53f",
|
||
X"f6c13f90",
|
||
X"0b83ea87",
|
||
X"33810656",
|
||
X"5674802e",
|
||
X"83389856",
|
||
X"83e9fc33",
|
||
X"83e9fd33",
|
||
X"71882b07",
|
||
X"56597481",
|
||
X"802e0981",
|
||
X"069c3883",
|
||
X"e9fa3383",
|
||
X"e9fb3371",
|
||
X"882b0756",
|
||
X"57ad8075",
|
||
X"278c3875",
|
||
X"81800756",
|
||
X"853975a0",
|
||
X"07567583",
|
||
X"e7f034ff",
|
||
X"0b83e7f1",
|
||
X"34e00b83",
|
||
X"e7f23480",
|
||
X"0b83e7f3",
|
||
X"34845283",
|
||
X"e7f051ce",
|
||
X"903f8451",
|
||
X"86903902",
|
||
X"82bb0533",
|
||
X"02840582",
|
||
X"ba053371",
|
||
X"82802905",
|
||
X"5659cd80",
|
||
X"3f7951ff",
|
||
X"a5963f83",
|
||
X"e0800880",
|
||
X"2e8a3880",
|
||
X"ce51ccac",
|
||
X"3f85e639",
|
||
X"80c151cc",
|
||
X"a33fcd98",
|
||
X"3fcbcd3f",
|
||
X"83eaa008",
|
||
X"58837525",
|
||
X"9b3883e9",
|
||
X"fc3383e9",
|
||
X"fd337188",
|
||
X"2b07fc17",
|
||
X"71297a05",
|
||
X"8380055a",
|
||
X"51578d39",
|
||
X"74818029",
|
||
X"18ff8005",
|
||
X"58818057",
|
||
X"80567676",
|
||
X"2e9238cb",
|
||
X"ff3f83e0",
|
||
X"800883e7",
|
||
X"f0173481",
|
||
X"1656eb39",
|
||
X"cbee3f83",
|
||
X"e0800881",
|
||
X"ff067753",
|
||
X"83e7f052",
|
||
X"56f4ec3f",
|
||
X"ff0b83e7",
|
||
X"f134e00b",
|
||
X"83e7f234",
|
||
X"800b83e7",
|
||
X"f3348452",
|
||
X"83e7f051",
|
||
X"ce8b3f84",
|
||
X"51869b39",
|
||
X"0282bb05",
|
||
X"33028405",
|
||
X"82ba0533",
|
||
X"71828029",
|
||
X"055659cc",
|
||
X"fb3f7951",
|
||
X"ffa5913f",
|
||
X"83e08008",
|
||
X"81ff0655",
|
||
X"75752e09",
|
||
X"81068190",
|
||
X"38cbed3f",
|
||
X"80c151cb",
|
||
X"a73fcc9c",
|
||
X"3f775279",
|
||
X"51ffa3ae",
|
||
X"3f805e80",
|
||
X"d13dfdf4",
|
||
X"05547653",
|
||
X"83e7f052",
|
||
X"7951ffa1",
|
||
X"bb3f0282",
|
||
X"b9053355",
|
||
X"81597480",
|
||
X"d72e0981",
|
||
X"0680c538",
|
||
X"77527951",
|
||
X"ffa2ff3f",
|
||
X"80d13dfd",
|
||
X"f0055476",
|
||
X"538f3d70",
|
||
X"537a5258",
|
||
X"ffa2b73f",
|
||
X"80567676",
|
||
X"2ea23875",
|
||
X"1883e7f0",
|
||
X"17337133",
|
||
X"70723270",
|
||
X"30708025",
|
||
X"70307f06",
|
||
X"811d5d5f",
|
||
X"51515152",
|
||
X"5b55db39",
|
||
X"82ac51e4",
|
||
X"9f3f7880",
|
||
X"2e863880",
|
||
X"c3518439",
|
||
X"80ce51ca",
|
||
X"9b3fcb90",
|
||
X"3fc9c53f",
|
||
X"83d23902",
|
||
X"82bb0533",
|
||
X"02840582",
|
||
X"ba053371",
|
||
X"82802905",
|
||
X"59558070",
|
||
X"5d59cab8",
|
||
X"802e8a38",
|
||
X"80ce51cc",
|
||
X"a73f85f1",
|
||
X"3980c151",
|
||
X"cc9e3fcd",
|
||
X"933fcbc8",
|
||
X"3f83eaa0",
|
||
X"08588375",
|
||
X"259b3883",
|
||
X"e9fc3383",
|
||
X"e9fd3371",
|
||
X"882b07fc",
|
||
X"1771297a",
|
||
X"05838005",
|
||
X"5a51578d",
|
||
X"39748180",
|
||
X"2918ff80",
|
||
X"05588180",
|
||
X"57805676",
|
||
X"762e9238",
|
||
X"cbfa3f83",
|
||
X"e0800883",
|
||
X"e7f01734",
|
||
X"811656eb",
|
||
X"39cbe93f",
|
||
X"83e08008",
|
||
X"81ff0677",
|
||
X"5383e7f0",
|
||
X"5256f4e7",
|
||
X"3f83e080",
|
||
X"0881ff06",
|
||
X"5575752e",
|
||
X"09810681",
|
||
X"95389451",
|
||
X"e59a3fcb",
|
||
X"e33f80c1",
|
||
X"51cb9d3f",
|
||
X"cc923f77",
|
||
X"527951ff",
|
||
X"a3a43f80",
|
||
X"5e80d13d",
|
||
X"fdf40554",
|
||
X"765383e7",
|
||
X"f0527951",
|
||
X"ffa1b13f",
|
||
X"0282b905",
|
||
X"33558159",
|
||
X"7480d72e",
|
||
X"09810680",
|
||
X"c5387752",
|
||
X"7951ffa2",
|
||
X"f53f80d1",
|
||
X"3dfdf005",
|
||
X"5476538f",
|
||
X"3d70537a",
|
||
X"5258ffa2",
|
||
X"ad3f8056",
|
||
X"76762ea2",
|
||
X"38751883",
|
||
X"e7f01733",
|
||
X"71337072",
|
||
X"32703070",
|
||
X"80257030",
|
||
X"7f06811d",
|
||
X"5d5f5151",
|
||
X"51525b55",
|
||
X"db3982ac",
|
||
X"51e4953f",
|
||
X"78802e86",
|
||
X"3880c351",
|
||
X"843980ce",
|
||
X"51ca913f",
|
||
X"cb863fc9",
|
||
X"bb3f83d8",
|
||
X"390282bb",
|
||
X"05330284",
|
||
X"0582ba05",
|
||
X"33718280",
|
||
X"29055955",
|
||
X"80705d59",
|
||
X"80e451e3",
|
||
X"df3fcaa8",
|
||
X"3f80c151",
|
||
X"c9f23f83",
|
||
X"c9e23f83",
|
||
X"ea880879",
|
||
X"2e82d638",
|
||
X"83eaa808",
|
||
... | ... | |
X"b238ff18",
|
||
X"70872b83",
|
||
X"ffff8006",
|
||
X"80f8b005",
|
||
X"80f8c005",
|
||
X"83e7f059",
|
||
X"57558180",
|
||
X"55757081",
|
||
... | ... | |
X"7780fd29",
|
||
X"fd97d305",
|
||
X"527951ff",
|
||
X"9fe03f80",
|
||
X"9fd03f80",
|
||
X"d13dfdec",
|
||
X"055480fd",
|
||
X"5383e7f0",
|
||
X"527951ff",
|
||
X"9f983f7b",
|
||
X"9f883f7b",
|
||
X"81195956",
|
||
X"7580fc24",
|
||
X"83387858",
|
||
... | ... | |
X"2917ff80",
|
||
X"05578180",
|
||
X"59765279",
|
||
X"51ff9eee",
|
||
X"51ff9ede",
|
||
X"3f80d13d",
|
||
X"fdec0554",
|
||
X"785383e7",
|
||
X"f0527951",
|
||
X"ff9ea73f",
|
||
X"7851f6ce",
|
||
X"3fc7b93f",
|
||
X"c5ee3f8b",
|
||
X"ff9e973f",
|
||
X"7851f6c3",
|
||
X"3fc7a93f",
|
||
X"c5de3f8b",
|
||
X"3983e9f0",
|
||
X"08810583",
|
||
X"e9f00c80",
|
||
X"d13d0d04",
|
||
X"f6ef3ffc",
|
||
X"f6e43ffc",
|
||
X"39fc3d0d",
|
||
X"76787184",
|
||
X"2983ea90",
|
||
... | ... | |
X"0b8c150c",
|
||
X"87a68033",
|
||
X"7081ff06",
|
||
X"5151dcd7",
|
||
X"5151dcc7",
|
||
X"3f70812a",
|
||
X"81327181",
|
||
X"32718106",
|
||
... | ... | |
X"02020202",
|
||
X"02020241",
|
Also available in: Unified diff
First cut of papilio duo support. NB: This does not work yet, notably PLL needs changing. Then sram needs wiring up to allow zpu to run.