Revision 322
Added by markw over 10 years ago
aeon_lite/Aeon.prj | ||
---|---|---|
cart_logic.vhd
|
||
freezer_logic.vhd
|
||
address_decoder.vhdl
|
||
antic_counter.vhdl
|
||
antic_dma_clock.vhdl
|
||
antic.vhdl
|
||
complete_address_decoder.vhdl
|
||
cpu_65xx_e.vhd
|
||
cpu_65xx_a.vhd
|
||
cpu_6510.vhd
|
||
cpu.vhd
|
||
delay_line.vhdl
|
||
enable_divider.vhdl
|
||
generic_ram_infer.vhdl
|
||
gtia_palette.vhdl
|
||
gtia_player.vhdl
|
||
gtia_priority.vhdl
|
||
gtia.vhdl
|
||
irq_glue.vhdl
|
||
pia.vhdl
|
||
pokey_countdown_timer.vhdl
|
||
pokey_mixer_mux.vhdl
|
||
pokey_keyboard_scanner.vhdl
|
||
pokey_mixer.vhdl
|
||
pokey_noise_filter.vhdl
|
||
pokey.vhdl
|
||
pokey_poly_17_9.vhdl
|
||
pokey_poly_4.vhdl
|
||
pokey_poly_5.vhdl
|
||
reg_file.vhdl
|
||
shared_enable.vhdl
|
||
simple_counter.vhdl
|
||
synchronizer.vhdl
|
||
syncreset_enable_divider.vhd
|
||
wide_delay_line.vhdl
|
||
basic.vhdl
|
||
os_5200.vhdl
|
||
os16.vhdl
|
||
os2.vhdl
|
||
os8.vhdl
|
||
os16_loop.vhdl
|
||
internalromram.vhd
|
||
covox.vhd
|
||
ps2_keyboard.vhdl
|
||
ps2_to_atari800.vhdl
|
||
pot_from_signed.vhdl
|
||
atari800core.vhd
|
||
atari800core_simple_sdram.vhd
|
||
dac.vhd
|
||
nes_gamepad.vhd
|
||
sram_statemachine.vhd
|
||
zpu_rom.vhdl
|
||
pll.vhd
|
||
scandouble_ram_infer.vhdl
|
||
scandoubler.vhdl
|
||
spi_master.vhd
|
||
sio_device.vhdl
|
||
zpupkg.vhd
|
||
zpu_config_regs.vhdl
|
||
zpu_glue.vhdl
|
||
zpu_core.vhd
|
||
zpucore.vhd
|
||
atari.vhd
|
||
vhdl work "delay_line.vhdl"
|
||
vhdl work "syncreset_enable_divider.vhd"
|
||
vhdl work "synchronizer.vhdl"
|
||
vhdl work "pokey_poly_5.vhdl"
|
||
vhdl work "pokey_poly_4.vhdl"
|
||
vhdl work "pokey_poly_17_9.vhdl"
|
||
vhdl work "pokey_noise_filter.vhdl"
|
||
vhdl work "pokey_keyboard_scanner.vhdl"
|
||
vhdl work "pokey_countdown_timer.vhdl"
|
||
vhdl work "mult_infer.vhdl"
|
||
vhdl work "generic_ram_infer.vhdl"
|
||
vhdl work "cpu_65xx_e.vhd"
|
||
vhdl work "complete_address_decoder.vhdl"
|
||
vhdl work "zpupkg.vhd"
|
||
vhdl work "wide_delay_line.vhdl"
|
||
vhdl work "simple_counter.vhdl"
|
||
vhdl work "reg_file.vhdl"
|
||
vhdl work "pokey_mixer.vhdl"
|
||
vhdl work "pokey.vhdl"
|
||
vhdl work "gtia_priority.vhdl"
|
||
vhdl work "gtia_player.vhdl"
|
||
vhdl work "freezer_logic.vhd"
|
||
vhdl work "enable_divider.vhdl"
|
||
vhdl work "cpu_65xx_a.vhd"
|
||
vhdl work "cart_logic.vhd"
|
||
vhdl work "antic_dma_clock.vhdl"
|
||
vhdl work "antic_counter.vhdl"
|
||
vhdl work "zpu_core.vhd"
|
||
vhdl work "spi_master.vhd"
|
||
vhdl work "sio_device.vhdl"
|
||
vhdl work "shared_enable.vhdl"
|
||
vhdl work "pokey_mixer_mux.vhdl"
|
||
vhdl work "pia.vhdl"
|
||
vhdl work "os_5200.vhdl"
|
||
vhdl work "os8.vhdl"
|
||
vhdl work "os2.vhdl"
|
||
vhdl work "os16_loop.vhdl"
|
||
vhdl work "os16.vhdl"
|
||
vhdl work "irq_glue.vhdl"
|
||
vhdl work "gtia_palette.vhdl"
|
||
vhdl work "gtia.vhdl"
|
||
vhdl work "cpu.vhd"
|
||
vhdl work "covox.vhd"
|
||
vhdl work "basic.vhdl"
|
||
vhdl work "antic.vhdl"
|
||
vhdl work "address_decoder.vhdl"
|
||
vhdl work "zpu_glue.vhdl"
|
||
vhdl work "zpu_config_regs.vhdl"
|
||
vhdl work "scandouble_ram_infer.vhdl"
|
||
vhdl work "ps2_keyboard.vhdl"
|
||
vhdl work "pot_from_signed.vhdl"
|
||
vhdl work "internalromram.vhd"
|
||
vhdl work "atari800core.vhd"
|
||
vhdl work "zpu_rom.vhdl"
|
||
vhdl work "zpucore.vhd"
|
||
vhdl work "sram_statemachine.vhd"
|
||
vhdl work "scandoubler.vhdl"
|
||
vhdl work "ps2_to_atari800.vhdl"
|
||
vhdl work "pll.vhd"
|
||
vhdl work "nes_gamepad.vhd"
|
||
vhdl work "dac.vhd"
|
||
vhdl work "atari800core_simple_sdram.vhd"
|
||
vhdl work "atari.vhd"
|
aeon_lite/Aeon.ut | ||
---|---|---|
-g UnusedPin:PULLUP
|
||
-g StartUpClk:CCLK
|
||
-g Binary:Yes
|
||
-w
|
||
-g DebugBitstream:No
|
||
-g Binary:no
|
||
-g CRC:Enable
|
||
-g Reset_on_err:No
|
||
-g ConfigRate:2
|
||
-g ProgPin:PullUp
|
||
-g TckPin:PullUp
|
||
-g TdiPin:PullUp
|
||
-g TdoPin:PullUp
|
||
-g TmsPin:PullUp
|
||
-g UnusedPin:PullDown
|
||
-g UserID:0xFFFFFFFF
|
||
-g ExtMasterCclk_en:No
|
||
-g SPI_buswidth:1
|
||
-g TIMER_CFG:0xFFFF
|
||
-g multipin_wakeup:No
|
||
-g StartUpClk:CClk
|
||
-g DONE_cycle:4
|
||
-g GTS_cycle:5
|
||
-g GWE_cycle:6
|
||
-g LCK_cycle:NoWait
|
||
-g Security:None
|
||
-g DonePipe:Yes
|
||
-g DriveDone:No
|
||
-g en_sw_gsr:No
|
||
-g drive_awake:No
|
||
-g sw_clk:Startupclk
|
||
-g sw_gwe_cycle:5
|
||
-g sw_gts_cycle:4
|
aeon_lite/Aeon.xst | ||
---|---|---|
set -tmpdir "xst/projnav.tmp"
|
||
set -xsthdpdir "xst"
|
||
run
|
||
-ifn Aeon.prj
|
||
-ofn Aeon
|
||
-ofmt NGC
|
||
-p xc6slx9-3-tqg144
|
||
-top ATARI
|
||
-opt_mode Speed
|
||
-opt_level 1
|
||
-power NO
|
||
-iuc NO
|
||
-keep_hierarchy No
|
||
-netlist_hierarchy As_Optimized
|
||
-rtlview Yes
|
||
-glob_opt AllClockNets
|
||
-read_cores YES
|
||
-write_timing_constraints NO
|
||
-cross_clock_analysis NO
|
||
-hierarchy_separator /
|
||
-bus_delimiter <>
|
||
-case Maintain
|
||
-slice_utilization_ratio 100
|
||
-bram_utilization_ratio 100
|
||
-dsp_utilization_ratio 100
|
||
-lc Auto
|
||
-reduce_control_sets Auto
|
||
-fsm_extract YES -fsm_encoding Auto
|
||
-safe_implementation No
|
||
-fsm_style LUT
|
||
-ram_extract Yes
|
||
-ram_style Auto
|
||
-rom_extract Yes
|
||
-shreg_extract YES
|
||
-rom_style Auto
|
||
-auto_bram_packing NO
|
||
-resource_sharing YES
|
||
-async_to_sync NO
|
||
-shreg_min_size 2
|
||
-use_dsp48 Auto
|
||
-iobuf YES
|
||
-max_fanout 100000
|
||
-bufg 16
|
||
-register_duplication YES
|
||
-register_balancing No
|
||
-optimize_primitives NO
|
||
-use_clock_enable Auto
|
||
-use_sync_set Auto
|
||
-use_sync_reset Auto
|
||
-iob Auto
|
||
-equivalent_register_removal YES
|
||
-slice_utilization_ratio_maxmargin 5
|
aeon_lite/NOTES | ||
---|---|---|
Finally almost builds - but latest build does not seem to fit in the FPGA... Uh oh! Probably best to stick with IloveSpeccy's latest build!
|
||
Builds now with latest code. Stereo and Covox disabled to save space.
|
aeon_lite/atari.vhd | ||
---|---|---|
entity ATARI is
|
||
port (
|
||
CLK_50 : in std_logic;
|
||
MCU_READY : in std_logic;
|
||
|
||
SRAM_A : out std_logic_vector(17 downto 0);
|
||
SRAM_D : inout std_logic_vector(15 downto 0);
|
||
... | ... | |
INTERNAL_RAM => 0,
|
||
PALETTE => 0,
|
||
VIDEO_BITS => 8,
|
||
LOW_MEMORY => 1 )
|
||
LOW_MEMORY => 1,
|
||
STEREO => 0,
|
||
COVOX => 0 )
|
||
port map(
|
||
CLK => CLK,
|
||
RESET_N => reset_n_inc_zpu,
|
||
... | ... | |
VSYNC => VGA_VSYNC,
|
||
HSYNC => VGA_HSYNC );
|
||
|
||
RESET_N <= LOCKED;
|
||
RESET_N <= LOCKED and MCU_READY;
|
||
|
||
-- NES Gamepad 1 & Cursor keys on keyboard
|
||
JOY1_n <= (not GAMEPAD0(7) and not GAMEPAD0(6) and not PS2_KEYS(16#014#)) &
|
aeon_lite/build.sh | ||
---|---|---|
pushd build
|
||
|
||
# copy source files
|
||
cp -p ../*.vhd .
|
||
cp -p ../*.vhdl .
|
||
cp -p ../pll/* .
|
||
cp -p ../../common/a8core/*.vhd .
|
||
cp -p ../../common/a8core/*.vhdl .
|
||
... | ... | |
cp -p ../../common/components/*.vhdl .
|
||
cp -p ../../common/zpu/*.vhd .
|
||
cp -p ../../common/zpu/*.vhdl .
|
||
#rm -f delay_line.vhdl
|
||
cp -p ../*.vhd .
|
||
cp -p ../*.vhdl .
|
||
cp -p ../*.xst .
|
||
|
||
cp -p ../$name.ucf .
|
||
cp -p ../$name.ut .
|
||
cp -p ../$name.scr .
|
||
cp -p ../$name.prj .
|
||
|
||
if [ "${args[0]}" != "-xil" ]; then
|
||
mkdir -p xst/projnav.tmp/
|
||
|
||
echo "Starting Synthesis..."
|
||
xst -ifn $name.scr -ofn $name.srp || exit 1
|
||
echo "Starting Synthesis"
|
||
xst -intstyle ise -ifn $name.xst -ofn $name.syr
|
||
|
||
fi
|
||
echo "Starting NGD"
|
||
ngdbuild -intstyle ise -dd _ngo -nt timestamp -i -p xc6slx9-tqg144-3 $name.ngc $name.ngd
|
||
|
||
echo "Starting Translate..."
|
||
ngdbuild -nt on -uc $name.ucf $name.ngc $name.ngd || exit 1
|
||
|
||
echo "Starting Map..."
|
||
map -pr b $name.ngd -o $name.ncd $name.pcf || exit 1
|
||
map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -detail -ir off -pr off -lc off -power off -o $name_map.ncd $name.ngd $name.pcf
|
||
|
||
echo "Starting Place & Route..."
|
||
par -w -ol std $name.ncd $name.ncd $name.pcf || exit 1
|
||
par -w -intstyle ise -ol high -mt off $name_map.ncd $name.ncd $name.pcf
|
||
|
||
echo "Starting Timing Analysis..."
|
||
trce -v 10 -o $name.twr $name.ncd $name.pcf || exit 1
|
||
trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml $name.twx $name.ncd -o $name.twr $name.pcf
|
||
|
||
echo "Starting Bitgen..."
|
||
bitgen $name.ncd $name.bit -w -f $name.ut || exit 1
|
||
bitgen -intstyle ise -f $name.ut $name.ncd
|
||
|
||
popd
|
||
cp build/$name.bin core/atari800.bin
|
aeon_lite/zpu_rom.vhdl | ||
---|---|---|
X"00000000",
|
||
X"00000000",
|
||
X"71fc0608",
|
||
X"0b0b80f1",
|
||
X"f0738306",
|
||
X"0b0b80f2",
|
||
X"80738306",
|
||
X"10100508",
|
||
X"060b0b0b",
|
||
X"88a20400",
|
||
... | ... | |
X"00000000",
|
||
X"00000000",
|
||
X"810b80f5",
|
||
X"a40c5104",
|
||
X"b40c5104",
|
||
X"00000000",
|
||
X"00000000",
|
||
X"00000000",
|
||
... | ... | |
X"83e08408",
|
||
X"83e08808",
|
||
X"757580ef",
|
||
X"af2d5050",
|
||
X"bf2d5050",
|
||
X"83e08008",
|
||
X"5683e088",
|
||
X"0c83e084",
|
||
... | ... | |
X"e0800883",
|
||
X"e0840883",
|
||
X"e0880875",
|
||
X"7580eeee",
|
||
X"7580eefe",
|
||
X"2d505083",
|
||
X"e0800856",
|
||
X"83e0880c",
|
||
... | ... | |
X"3d0d7b7d",
|
||
X"5a5a82d0",
|
||
X"5283e2bc",
|
||
X"085180dd",
|
||
X"f13f83e0",
|
||
X"085180de",
|
||
X"813f83e0",
|
||
X"800857f9",
|
||
X"e13f7952",
|
||
X"83e2c451",
|
||
... | ... | |
X"09810682",
|
||
X"833883e0",
|
||
X"a0080b0b",
|
||
X"80f3a453",
|
||
X"80f3b453",
|
||
X"705256a5",
|
||
X"c13f0b0b",
|
||
X"80f3a452",
|
||
X"80f3b452",
|
||
X"80c01651",
|
||
X"a5b43f75",
|
||
X"bc170c73",
|
||
... | ... | |
X"5affab39",
|
||
X"76982b55",
|
||
X"74802587",
|
||
X"3880f180",
|
||
X"3880f190",
|
||
X"173357ff",
|
||
X"9f175574",
|
||
X"99268938",
|
||
... | ... | |
X"79842a90",
|
||
X"3d335471",
|
||
X"71315356",
|
||
X"5680ced6",
|
||
X"5680cee6",
|
||
X"3f83e080",
|
||
X"08820570",
|
||
X"881c0c83",
|
||
... | ... | |
X"56537680",
|
||
X"2eb73874",
|
||
X"52ff1651",
|
||
X"80c9d73f",
|
||
X"80c9e73f",
|
||
X"83e08008",
|
||
X"ff187654",
|
||
X"70535853",
|
||
X"80c9c73f",
|
||
X"80c9d73f",
|
||
X"83e08008",
|
||
X"73269638",
|
||
X"74307078",
|
||
... | ... | |
X"80c13874",
|
||
X"51e7a63f",
|
||
X"83e08008",
|
||
X"80f3b453",
|
||
X"80f3c453",
|
||
X"83e08008",
|
||
X"5253ff91",
|
||
X"3f83e080",
|
||
X"08a13880",
|
||
X"f3b85272",
|
||
X"f3c85272",
|
||
X"51ff823f",
|
||
X"83e08008",
|
||
X"923880f3",
|
||
X"bc527251",
|
||
X"cc527251",
|
||
X"fef33f83",
|
||
X"e0800880",
|
||
X"2e833881",
|
||
... | ... | |
X"80083395",
|
||
X"3d565473",
|
||
X"963880f8",
|
||
X"a8527451",
|
||
X"b8527451",
|
||
X"89903f9a",
|
||
X"397d5278",
|
||
X"51dff13f",
|
||
... | ... | |
X"800b83e7",
|
||
X"c00c800b",
|
||
X"83e7e40c",
|
||
X"80f3c051",
|
||
X"80f3d051",
|
||
X"8d8f3f81",
|
||
X"800b83e7",
|
||
X"e40c80f3",
|
||
X"c8518d81",
|
||
X"d8518d81",
|
||
X"3fa80b83",
|
||
X"e7c00c76",
|
||
X"802e80e4",
|
||
... | ... | |
X"e4ad3f83",
|
||
X"e0800880",
|
||
X"2e883880",
|
||
X"f3d0518c",
|
||
X"f3e0518c",
|
||
X"c83f7651",
|
||
X"e3ef3f83",
|
||
X"e0800852",
|
||
X"80f4dc51",
|
||
X"80f4ec51",
|
||
X"8cb73f76",
|
||
X"51e3f73f",
|
||
X"83e08008",
|
||
... | ... | |
X"3f83e080",
|
||
X"08785255",
|
||
X"e3cd3f80",
|
||
X"f3d85483",
|
||
X"f3e85483",
|
||
X"e080088d",
|
||
X"38873980",
|
||
X"763481d0",
|
||
X"3980f3d4",
|
||
X"3980f3e4",
|
||
X"54745373",
|
||
X"5280f3a8",
|
||
X"5280f3b8",
|
||
X"518bd63f",
|
||
X"805480f3",
|
||
X"b0518bcd",
|
||
X"c0518bcd",
|
||
X"3f811454",
|
||
X"73a82e09",
|
||
X"8106ef38",
|
||
... | ... | |
X"9cdd3f80",
|
||
X"52903d70",
|
||
X"525780c0",
|
||
X"9e3f8352",
|
||
X"ae3f8352",
|
||
X"765180c0",
|
||
X"963f6281",
|
||
X"a63f6281",
|
||
X"8f386180",
|
||
X"2e80fb38",
|
||
X"7b5473ff",
|
||
... | ... | |
X"7851e295",
|
||
X"3f83e080",
|
||
X"085280f3",
|
||
X"a45183e3",
|
||
X"b45183e3",
|
||
X"3f83e080",
|
||
X"08a3387c",
|
||
X"51859b3f",
|
||
... | ... | |
X"5afc9039",
|
||
X"62802efb",
|
||
X"d1388052",
|
||
X"7651bef7",
|
||
X"7651bf87",
|
||
X"3fa33d0d",
|
||
X"04803d0d",
|
||
X"9088b833",
|
||
... | ... | |
X"73259438",
|
||
X"73708105",
|
||
X"55335280",
|
||
X"f3dc5187",
|
||
X"f3ec5187",
|
||
X"843fff13",
|
||
X"53e93985",
|
||
X"3d0d04fd",
|
||
... | ... | |
X"55853974",
|
||
X"7a295574",
|
||
X"527551b7",
|
||
X"a13f83e0",
|
||
X"b13f83e0",
|
||
X"80087a27",
|
||
X"ee387480",
|
||
X"2e80dd38",
|
||
X"74527551",
|
||
X"b78c3f83",
|
||
X"b79c3f83",
|
||
X"e0800875",
|
||
X"53765254",
|
||
X"b7903f83",
|
||
X"b7a03f83",
|
||
X"e080087a",
|
||
X"53755256",
|
||
X"b6f43f83",
|
||
X"b7843f83",
|
||
X"e0800879",
|
||
X"30707b07",
|
||
X"9f2a7077",
|
||
... | ... | |
X"3fa25480",
|
||
X"5383e7b4",
|
||
X"088c8005",
|
||
X"5280f6a0",
|
||
X"5280f6b0",
|
||
X"51fea13f",
|
||
X"860b87a8",
|
||
X"8334800b",
|
||
... | ... | |
X"3d0df9e2",
|
||
X"3f83e080",
|
||
X"08842980",
|
||
X"f6c40570",
|
||
X"f6d40570",
|
||
X"0883e080",
|
||
X"0c51823d",
|
||
X"0d04ed3d",
|
||
... | ... | |
X"800b83e7",
|
||
X"c00c800b",
|
||
X"83e7e40c",
|
||
X"80f4a851",
|
||
X"80f4b851",
|
||
X"eaa73f81",
|
||
X"800b83e7",
|
||
X"e40c80f4",
|
||
X"ac51ea99",
|
||
X"bc51ea99",
|
||
X"3f80d00b",
|
||
X"83e7c00c",
|
||
X"7830707a",
|
||
... | ... | |
X"e40c5155",
|
||
X"f8d33f83",
|
||
X"e0800852",
|
||
X"80f4b451",
|
||
X"80f4c451",
|
||
X"e9f33f80",
|
||
X"f80b83e7",
|
||
X"c00c7881",
|
||
... | ... | |
X"5656feef",
|
||
X"3f83e080",
|
||
X"085280f4",
|
||
X"c051e9c9",
|
||
X"d051e9c9",
|
||
X"3f81a00b",
|
||
X"83e7c00c",
|
||
X"78823270",
|
||
... | ... | |
X"56ffb79d",
|
||
X"3f83e080",
|
||
X"085280f4",
|
||
X"c851e999",
|
||
X"d851e999",
|
||
X"3f81f00b",
|
||
X"83e7c00c",
|
||
X"810b83e7",
|
||
... | ... | |
X"0c51578e",
|
||
X"3d7055ff",
|
||
X"1b545757",
|
||
X"5799a13f",
|
||
X"5799b13f",
|
||
X"79708405",
|
||
X"5b0851ff",
|
||
X"b6d33f74",
|
||
X"5483e080",
|
||
X"08537752",
|
||
X"80f4d051",
|
||
X"80f4e051",
|
||
X"e8cb3fa8",
|
||
X"1783e7c0",
|
||
X"0c811858",
|
||
... | ... | |
X"2b83e7e4",
|
||
X"0c515656",
|
||
X"f7f73f80",
|
||
X"f4e05583",
|
||
X"f4f05583",
|
||
X"e0800880",
|
||
X"2e8f3883",
|
||
X"e7d40851",
|
||
X"ffb5fe3f",
|
||
X"83e08008",
|
||
X"55745280",
|
||
X"f4e851e7",
|
||
X"f4f851e7",
|
||
X"f83f83e0",
|
||
X"0b83e7c0",
|
||
X"0c788832",
|
||
... | ... | |
X"07802570",
|
||
X"872b83e7",
|
||
X"e40c5157",
|
||
X"80f4f452",
|
||
X"80f58452",
|
||
X"55e7d63f",
|
||
X"868da051",
|
||
X"f8f13f80",
|
||
X"52913d70",
|
||
X"52559cb3",
|
||
X"52559cc3",
|
||
X"3f835274",
|
||
X"519cac3f",
|
||
X"519cbc3f",
|
||
X"63557482",
|
||
X"fa386119",
|
||
X"59788025",
|
||
... | ... | |
X"59873978",
|
||
X"882682d9",
|
||
X"3878822b",
|
||
X"5580f380",
|
||
X"5580f390",
|
||
X"150804f5",
|
||
X"e43f83e0",
|
||
X"80086157",
|
||
... | ... | |
X"720c83e7",
|
||
X"c40cfd1a",
|
||
X"70535155",
|
||
X"8ba73f83",
|
||
X"8bac3f83",
|
||
X"e0800856",
|
||
X"80518b9d",
|
||
X"80518ba2",
|
||
X"3f83e080",
|
||
X"08527451",
|
||
X"87b43f75",
|
||
X"87b93f75",
|
||
X"52805187",
|
||
X"ad3f80d5",
|
||
X"b23f80d5",
|
||
X"39605580",
|
||
X"7525b638",
|
||
X"83e39408",
|
||
... | ... | |
X"e7c00cf0",
|
||
X"b73f83e7",
|
||
X"c4085280",
|
||
X"5185ab3f",
|
||
X"5185b03f",
|
||
X"8151f5a6",
|
||
X"3f843d0d",
|
||
X"04fc3d0d",
|
||
... | ... | |
X"808051ff",
|
||
X"b5923f83",
|
||
X"e0800880",
|
||
X"cd3888f6",
|
||
X"3f80f898",
|
||
X"cd3888fb",
|
||
X"3f80f8a8",
|
||
X"51ffb9d1",
|
||
X"3f83e080",
|
||
X"0855b080",
|
||
X"805480c0",
|
||
X"805380f4",
|
||
X"fc5283e0",
|
||
X"805380f5",
|
||
X"8c5283e0",
|
||
X"800851f6",
|
||
X"fa3f83e7",
|
||
X"d8085380",
|
||
X"f58c5274",
|
||
X"f59c5274",
|
||
X"51ffb49a",
|
||
X"3f83e080",
|
||
X"088438f7",
|
||
... | ... | |
X"bc3351f2",
|
||
X"d03f8151",
|
||
X"f4bc3f92",
|
||
X"de3f8151",
|
||
X"ee3f8151",
|
||
X"f4b43f81",
|
||
X"51fdeb3f",
|
||
X"fa3983e0",
|
||
X"8c080283",
|
||
X"e08c0cfb",
|
||
X"3d0d0280",
|
||
X"f5980b83",
|
||
X"f5a80b83",
|
||
X"e38c0c80",
|
||
X"f59c0b83",
|
||
X"f5ac0b83",
|
||
X"e3840c80",
|
||
X"f5a00b83",
|
||
X"f5b00b83",
|
||
X"e3940c83",
|
||
X"e08c08fc",
|
||
X"050c800b",
|
||
... | ... | |
X"349088d4",
|
||
X"337081ff",
|
||
X"06515372",
|
||
X"8638fac6",
|
||
X"3fef3980",
|
||
X"55741675",
|
||
X"822b5454",
|
||
X"9088c013",
|
||
X"33743481",
|
||
X"15557485",
|
||
X"2e098106",
|
||
X"e838810b",
|
||
X"9088d434",
|
||
X"753383e7",
|
||
X"f0348116",
|
||
X"3383e7f1",
|
||
X"34821633",
|
||
X"83e7f234",
|
||
X"83163383",
|
||
X"e7f33484",
|
||
X"5283e7f0",
|
||
X"51febf3f",
|
||
X"8b38fac6",
|
||
X"3f8351f0",
|
||
X"963fea39",
|
||
X"80557416",
|
||
X"75822b54",
|
||
X"549088c0",
|
||
X"13337434",
|
||
X"81155574",
|
||
X"852e0981",
|
||
X"06e83881",
|
||
X"0b9088d4",
|
||
X"34753383",
|
||
X"e7f03481",
|
||
X"163383e7",
|
||
X"f1348216",
|
||
X"3383e7f2",
|
||
X"34831633",
|
||
X"83e7f334",
|
||
X"845283e7",
|
||
X"f051feba",
|
||
X"3f83e080",
|
||
X"0881ff06",
|
||
X"84173357",
|
||
X"5372762e",
|
||
X"0981068c",
|
||
X"38d6a13f",
|
||
X"83e08008",
|
||
X"81ff0684",
|
||
X"17335753",
|
||
X"72762e09",
|
||
X"81068c38",
|
||
X"d6a63f83",
|
||
X"e0800880",
|
||
X"2e9a3883",
|
||
X"ea8c08a8",
|
||
X"2e098106",
|
||
X"8938860b",
|
||
X"83ea8c0c",
|
||
X"8739a80b",
|
||
X"83ea8c0c",
|
||
X"80e451ef",
|
||
X"963f873d",
|
||
X"0d04f43d",
|
||
X"0d7e6059",
|
||
X"55805d80",
|
||
X"75822b71",
|
||
X"83ea9012",
|
||
X"0c83eaa4",
|
||
X"175b5b57",
|
||
X"76793477",
|
||
X"772e83b9",
|
||
X"38765277",
|
||
X"51ffadfa",
|
||
X"3f8e3dfc",
|
||
X"05549053",
|
||
X"83e9f852",
|
||
X"802e9a38",
|
||
X"83ea8c08",
|
||
X"a82e0981",
|
||
X"06893886",
|
||
X"0b83ea8c",
|
||
X"0c8739a8",
|
||
X"0b83ea8c",
|
||
X"0c80e451",
|
||
X"ef913f87",
|
||
X"3d0d04f4",
|
||
X"3d0d7e60",
|
||
X"5955805d",
|
||
X"8075822b",
|
||
X"7183ea90",
|
||
X"120c83ea",
|
||
X"a4175b5b",
|
||
X"57767934",
|
||
X"77772e83",
|
||
X"b9387652",
|
||
X"7751ffad",
|
||
X"b53f7c56",
|
||
X"75902e09",
|
||
X"81068395",
|
||
X"3883e9f8",
|
||
X"51fd9a3f",
|
||
X"83e9fa51",
|
||
X"fd933f83",
|
||
X"e9fc51fd",
|
||
X"8c3f7683",
|
||
X"ea880c77",
|
||
X"51ffab81",
|
||
X"3f0b0b80",
|
||
X"f3b85283",
|
||
X"e0800851",
|
||
X"cca33f83",
|
||
X"e0800881",
|
||
X"2e098106",
|
||
X"80d43876",
|
||
X"83eaa00c",
|
||
X"820b83e9",
|
||
X"f834ff96",
|
||
X"f53f8e3d",
|
||
X"fc055490",
|
||
X"5383e9f8",
|
||
X"527751ff",
|
||
X"adb03f7c",
|
||
X"5675902e",
|
||
X"09810683",
|
||
X"953883e9",
|
||
X"f851fd95",
|
||
X"3f83e9fa",
|
||
X"51fd8e3f",
|
||
X"83e9fc51",
|
||
X"fd873f76",
|
||
X"83ea880c",
|
||
X"7751ffaa",
|
||
X"fc3f0b0b",
|
||
X"80f3c852",
|
||
X"83e08008",
|
||
X"51cc9e3f",
|
||
X"83e08008",
|
||
X"812e0981",
|
||
X"0680d438",
|
||
X"7683eaa0",
|
||
X"0c820b83",
|
||
X"e9f834ff",
|
||
X"960b83e9",
|
||
X"f9347751",
|
||
X"ffadc03f",
|
||
X"83e08008",
|
||
X"5583e080",
|
||
X"08772588",
|
||
X"3883e080",
|
||
X"088f0555",
|
||
X"74842c70",
|
||
X"83ffff06",
|
||
X"70882a58",
|
||
X"51557583",
|
||
X"e9fa3474",
|
||
X"83e9fb34",
|
||
X"7683e9fc",
|
||
X"34ff800b",
|
||
X"83e9fd34",
|
||
X"81903983",
|
||
X"e9f83383",
|
||
X"e9f93371",
|
||
X"882b0756",
|
||
X"5b7483ff",
|
||
X"ff2e0981",
|
||
X"0680e838",
|
||
X"fe800b83",
|
||
X"eaa00c81",
|
||
X"0b83ea88",
|
||
X"0cff0b83",
|
||
X"e9f834ff",
|
||
X"0b83e9f9",
|
||
X"347751ff",
|
||
X"adc53f83",
|
||
X"accd3f83",
|
||
X"e0800883",
|
||
X"eaa80c83",
|
||
X"e0800855",
|
||
X"83e08008",
|
||
X"77258838",
|
||
X"80258838",
|
||
X"83e08008",
|
||
X"8f055574",
|
||
X"842c7083",
|
||
... | ... | |
X"83e9fc34",
|
||
X"ff800b83",
|
||
X"e9fd3481",
|
||
X"903983e9",
|
||
X"f83383e9",
|
||
X"f9337188",
|
||
X"2b07565b",
|
||
X"7483ffff",
|
||
X"2e098106",
|
||
X"80e838fe",
|
||
X"800b83ea",
|
||
X"a00c810b",
|
||
X"83ea880c",
|
||
X"ff0b83e9",
|
||
X"f834ff0b",
|
||
X"83e9f934",
|
||
X"7751ffac",
|
||
X"d23f83e0",
|
||
X"800883ea",
|
||
X"a80c83e0",
|
||
X"80085583",
|
||
X"e0800880",
|
||
X"25883883",
|
||
X"e080088f",
|
||
X"05557484",
|
||
X"2c7083ff",
|
||
X"ff067088",
|
||
X"2a585155",
|
||
X"7583e9fa",
|
||
X"347483e9",
|
||
X"fb347683",
|
||
X"e9fc34ff",
|
||
X"0b83ea87",
|
||
X"34a53974",
|
||
X"85962e09",
|
||
X"810680fe",
|
||
X"387583ea",
|
||
X"a00c7751",
|
||
X"ffac813f",
|
||
X"83ea8733",
|
||
X"83e08008",
|
||
X"07557483",
|
||
X"ea873483",
|
||
X"ea873381",
|
||
X"06557480",
|
||
X"2e833884",
|
||
X"5783e9fc",
|
||
X"3383e9fd",
|
||
X"3371882b",
|
||
X"07565c74",
|
||
X"81802e09",
|
||
X"8106a138",
|
||
X"83e9fa33",
|
||
X"83e9fb33",
|
||
X"71882b07",
|
||
X"565bad80",
|
||
X"75278738",
|
||
X"76820757",
|
||
X"9c397681",
|
||
X"07579639",
|
||
X"7482802e",
|
||
X"09810687",
|
||
X"38768307",
|
||
X"57873974",
|
||
X"81ff268a",
|
||
X"387783ea",
|
||
X"901b0c76",
|
||
X"79348e3d",
|
||
X"0d04803d",
|
||
X"0d728429",
|
||
X"83ea9005",
|
||
X"700883e0",
|
||
X"800c5182",
|
||
X"3d0d04fe",
|
||
X"3d0d800b",
|
||
X"83e9f40c",
|
||
X"800b83e9",
|
||
X"fd34810b",
|
||
X"83ea8734",
|
||
X"a5397485",
|
||
X"962e0981",
|
||
X"0680fe38",
|
||
X"7583eaa0",
|
||
X"0c7751ff",
|
||
X"ac863f83",
|
||
X"ea873383",
|
||
X"e0800807",
|
||
X"557483ea",
|
||
X"873483ea",
|
||
X"87338106",
|
||
X"5574802e",
|
||
X"83388457",
|
||
X"f00cff0b",
|
||
X"83e7ec0c",
|
||
X"a80b83ea",
|
||
X"8c0cae51",
|
||
X"d0c23f80",
|
||
X"0b83ea90",
|
||
X"54528073",
|
||
X"70840555",
|
||
X"0c811252",
|
||
X"71842e09",
|
||
X"8106ef38",
|
||
X"843d0d04",
|
||
X"fe3d0d74",
|
||
X"02840596",
|
||
X"05225353",
|
||
X"71802e96",
|
||
X"38727081",
|
||
X"05543351",
|
||
X"d0cd3fff",
|
||
X"127083ff",
|
||
X"ff065152",
|
||
X"e739843d",
|
||
X"0d04fe3d",
|
||
X"0d029205",
|
||
X"225382ac",
|
||
X"51eaa43f",
|
||
X"80c351d0",
|
||
X"aa3f8196",
|
||
X"51ea983f",
|
||
X"725283e7",
|
||
X"f051ffb4",
|
||
X"3f725283",
|
||
X"e7f051f8",
|
||
X"f13f83e0",
|
||
X"800881ff",
|
||
X"0651d087",
|
||
X"3f843d0d",
|
||
X"04ffb13d",
|
||
X"0d80d13d",
|
||
X"f80551f9",
|
||
X"9b3f83e9",
|
||
X"f4088105",
|
||
X"83e9f40c",
|
||
X"80cf3d33",
|
||
X"cf117081",
|
||
X"ff065156",
|
||
X"56748326",
|
||
X"88e23875",
|
||
X"8f06ff05",
|
||
X"567583e7",
|
||
X"ec082e9b",
|
||
X"38758326",
|
||
X"96387583",
|
||
X"e7ec0c75",
|
||
X"842983ea",
|
||
X"90057008",
|
||
X"53557551",
|
||
X"fa993f80",
|
||
X"762488be",
|
||
X"38758429",
|
||
X"83ea9005",
|
||
X"55740880",
|
||
X"2e88af38",
|
||
X"83e7ec08",
|
||
X"842983ea",
|
||
X"90057008",
|
||
X"02880582",
|
||
X"b9053352",
|
||
X"5b557480",
|
||
X"d22e84ac",
|
||
X"387480d2",
|
||
X"24903874",
|
||
X"bf2e9c38",
|
||
X"7480d02e",
|
||
X"81d13887",
|
||
X"ee397480",
|
||
X"d32e80cf",
|
||
X"387480d7",
|
||
X"2e81c038",
|
||
X"87dd3902",
|
||
X"82bb0533",
|
||
X"02840582",
|
||
X"ba053371",
|
||
X"82802905",
|
||
X"5656cf83",
|
||
X"3f80c151",
|
||
X"cebd3ff6",
|
||
X"ed3f860b",
|
||
X"83e7f034",
|
||
X"815283e7",
|
||
X"f051cfe0",
|
||
X"3f8151fd",
|
||
X"e93f7489",
|
||
X"38860b83",
|
||
X"ea8c0c87",
|
||
X"39a80b83",
|
||
X"ea8c0cce",
|
||
X"d23f80c1",
|
||
X"51ce8c3f",
|
||
X"f6bc3f90",
|
||
X"0b83ea87",
|
||
X"33810656",
|
||
X"5674802e",
|
||
X"83389856",
|
||
X"83e9fc33",
|
||
X"83e9fd33",
|
||
X"71882b07",
|
||
X"565c7481",
|
||
X"56597481",
|
||
X"802e0981",
|
||
X"06a13883",
|
||
X"069c3883",
|
||
X"e9fa3383",
|
||
X"e9fb3371",
|
||
X"882b0756",
|
||
X"5bad8075",
|
||
X"27873876",
|
||
X"8207579c",
|
||
X"39768107",
|
||
X"57963974",
|
||
X"82802e09",
|
||
X"81068738",
|
||
X"76830757",
|
||
X"87397481",
|
||
X"ff268a38",
|
||
X"7783ea90",
|
||
X"1b0c7679",
|
||
X"348e3d0d",
|
||
X"04803d0d",
|
||
X"72842983",
|
||
X"ea900570",
|
||
X"0883e080",
|
||
X"0c51823d",
|
||
X"0d04fe3d",
|
||
X"0d800b83",
|
||
X"e9f40c80",
|
||
X"0b83e9f0",
|
||
X"0cff0b83",
|
||
X"e7ec0ca8",
|
||
X"0b83ea8c",
|
||
X"0cae51d0",
|
||
X"c73f800b",
|
||
X"83ea9054",
|
||
X"52807370",
|
||
X"8405550c",
|
||
X"81125271",
|
||
X"842e0981",
|
||
X"06ef3884",
|
||
X"3d0d04fe",
|
||
X"3d0d7402",
|
||
X"84059605",
|
||
X"22535371",
|
||
X"802e9638",
|
||
X"72708105",
|
||
X"543351d0",
|
||
X"d23fff12",
|
||
X"7083ffff",
|
||
X"065152e7",
|
||
X"39843d0d",
|
||
X"04fe3d0d",
|
||
X"02920522",
|
||
X"5382ac51",
|
||
X"eaa93f80",
|
||
X"c351d0af",
|
||
X"3f819651",
|
||
X"ea9d3f72",
|
||
X"5283e7f0",
|
||
X"51ffb43f",
|
||
X"725283e7",
|
||
X"f051f8f6",
|
||
X"3f83e080",
|
||
X"0881ff06",
|
||
X"51d08c3f",
|
||
X"843d0d04",
|
||
X"ffb13d0d",
|
||
X"80d13df8",
|
||
X"0551f9a0",
|
||
X"3f83e9f4",
|
||
X"08810583",
|
||
X"e9f40c80",
|
||
X"cf3d33cf",
|
||
X"117081ff",
|
||
X"06515656",
|
||
X"74832688",
|
||
X"d738758f",
|
||
X"06ff0556",
|
||
X"7583e7ec",
|
||
X"082e9b38",
|
||
X"75832696",
|
||
X"387583e7",
|
||
X"ec0c7584",
|
||
X"2983ea90",
|
||
X"05700853",
|
||
X"557551fa",
|
||
X"993f8076",
|
||
X"2488b338",
|
||
X"75842983",
|
||
X"ea900555",
|
||
X"7408802e",
|
||
X"88a43883",
|
||
X"e7ec0884",
|
||
X"2983ea90",
|
||
X"05700802",
|
||
X"880582b9",
|
||
X"0533525b",
|
||
X"557480d2",
|
||
X"2e84a738",
|
||
X"7480d224",
|
||
X"903874bf",
|
||
X"2e9c3874",
|
||
X"80d02e81",
|
||
X"d13887e3",
|
||
X"397480d3",
|
||
X"2e80cf38",
|
||
X"7480d72e",
|
||
X"81c03887",
|
||
X"d2390282",
|
||
X"bb053302",
|
||
X"840582ba",
|
||
X"05337182",
|
||
X"80290556",
|
||
X"56cf883f",
|
||
X"80c151ce",
|
||
X"c23ff6f2",
|
||
X"3f860b83",
|
||
X"e7f03481",
|
||
X"5283e7f0",
|
||
X"51cfe53f",
|
||
X"8151fde9",
|
||
X"3f748938",
|
||
X"860b83ea",
|
||
X"8c0c8739",
|
||
X"a80b83ea",
|
||
X"8c0cced7",
|
||
X"57ad8075",
|
||
X"278c3875",
|
||
X"81800756",
|
||
X"853975a0",
|
||
X"07567583",
|
||
X"e7f034ff",
|
||
X"0b83e7f1",
|
||
X"34e00b83",
|
||
X"e7f23480",
|
||
X"0b83e7f3",
|
||
X"34845283",
|
||
X"e7f051ce",
|
||
X"d73f8451",
|
||
X"869b3902",
|
||
X"82bb0533",
|
||
X"02840582",
|
||
X"ba053371",
|
||
X"82802905",
|
||
X"5659cdc7",
|
||
X"3f7951ff",
|
||
X"a6d23f83",
|
||
X"e0800880",
|
||
X"2e8a3880",
|
||
X"ce51ccf3",
|
||
X"3f85f139",
|
||
X"80c151cc",
|
||
X"ea3fcddf",
|
||
X"3fcc943f",
|
||
X"83eaa008",
|
||
X"58837525",
|
||
X"9b3883e9",
|
||
X"fc3383e9",
|
||
X"fd337188",
|
||
X"2b07fc17",
|
||
X"71297a05",
|
||
X"8380055a",
|
||
X"51578d39",
|
||
X"74818029",
|
||
X"18ff8005",
|
||
X"58818057",
|
||
X"80567676",
|
||
X"2e9238cc",
|
||
X"c63f83e0",
|
||
X"800883e7",
|
||
X"f0173481",
|
||
X"1656eb39",
|
||
X"ccb53f83",
|
||
X"e0800881",
|
||
X"ff067753",
|
||
X"83e7f052",
|
||
X"56f4e73f",
|
||
X"83e08008",
|
||
X"81ff0655",
|
||
X"75752e09",
|
||
X"81068195",
|
||
X"389451e5",
|
||
X"e63fccaf",
|
||
X"3f80c151",
|
||
X"ce913ff6",
|
||
X"c13f900b",
|
||
X"83ea8733",
|
||
X"81065656",
|
||
X"74802e83",
|
||
X"38985683",
|
||
X"e9fc3383",
|
||
X"e9fd3371",
|
||
X"882b0756",
|
||
X"59748180",
|
||
X"2e098106",
|
||
X"9c3883e9",
|
||
X"fa3383e9",
|
||
X"fb337188",
|
||
X"2b075657",
|
||
X"ad807527",
|
||
X"8c387581",
|
||
X"80075685",
|
||
X"3975a007",
|
||
X"567583e7",
|
||
X"f034ff0b",
|
||
X"83e7f134",
|
||
X"e00b83e7",
|
||
X"f234800b",
|
||
X"83e7f334",
|
||
X"845283e7",
|
||
X"f051cedc",
|
||
X"3f845186",
|
||
X"90390282",
|
||
X"bb053302",
|
||
X"840582ba",
|
||
X"05337182",
|
||
X"80290556",
|
||
X"59cdcc3f",
|
||
X"7951ffa6",
|
||
X"d73f83e0",
|
||
X"8008802e",
|
||
X"8a3880ce",
|
||
X"51ccf83f",
|
||
X"85e63980",
|
||
X"c151ccef",
|
||
X"3fcde43f",
|
||
X"cc993f83",
|
||
X"eaa00858",
|
||
X"8375259b",
|
||
X"3883e9fc",
|
||
X"3383e9fd",
|
||
X"3371882b",
|
||
X"07fc1771",
|
||
X"297a0583",
|
||
X"80055a51",
|
||
X"578d3974",
|
||
X"81802918",
|
||
X"ff800558",
|
||
X"81805780",
|
||
X"5676762e",
|
||
X"9238cccb",
|
||
X"3f83e080",
|
||
X"0883e7f0",
|
||
X"17348116",
|
||
X"56eb39cc",
|
||
X"ba3f83e0",
|
||
X"800881ff",
|
||
X"06775383",
|
||
X"e7f05256",
|
||
X"f4ec3f83",
|
||
X"e0800881",
|
||
X"ff065575",
|
||
X"752e0981",
|
||
X"06819038",
|
||
X"ccb93f80",
|
||
X"c151cbf3",
|
||
X"3fcce83f",
|
||
X"77527951",
|
||
X"ffa4ef3f",
|
||
X"805e80d1",
|
||
X"3dfdf405",
|
||
X"54765383",
|
||
X"e7f05279",
|
||
X"51ffa2fc",
|
||
X"3f0282b9",
|
||
X"05335581",
|
||
X"597480d7",
|
||
X"2e098106",
|
||
X"80c53877",
|
||
X"cbe93fcc",
|
||
X"de3f7752",
|
||
X"7951ffa4",
|
||
X"e53f805e",
|
||
X"80d13dfd",
|
||
X"f4055476",
|
||
X"5383e7f0",
|
||
X"527951ff",
|
||
X"a4c03f80",
|
||
X"d13dfdf0",
|
||
X"05547653",
|
||
X"8f3d7053",
|
||
X"7a5258ff",
|
||
X"a3f83f80",
|
||
X"5676762e",
|
||
X"a2387518",
|
||
X"83e7f017",
|
||
X"33713370",
|
||
X"72327030",
|
||
X"70802570",
|
||
X"307f0681",
|
||
X"1d5d5f51",
|
||
X"5151525b",
|
||
X"55db3982",
|
||
X"ac51e4eb",
|
||
X"3f78802e",
|
||
X"863880c3",
|
||
X"51843980",
|
||
X"ce51cae7",
|
||
X"3fcbdc3f",
|
||
X"ca913f83",
|
||
X"d2390282",
|
||
X"bb053302",
|
||
X"840582ba",
|
||
X"05337182",
|
||
X"80290559",
|
||
X"5580705d",
|
||
X"59cb843f",
|
||
X"a2f23f02",
|
||
X"82b90533",
|
||
X"55815974",
|
||
X"80d72e09",
|
||
X"810680c5",
|
||
X"38775279",
|
||
X"51ffa4b6",
|
||
X"3f80d13d",
|
||
X"fdf00554",
|
||
X"76538f3d",
|
||
X"70537a52",
|
||
X"58ffa3ee",
|
||
X"3f805676",
|
||
X"762ea238",
|
||
X"751883e7",
|
||
X"f0173371",
|
||
X"33707232",
|
||
X"70307080",
|
||
X"2570307f",
|
||
X"06811d5d",
|
||
X"5f515151",
|
||
X"525b55db",
|
||
X"3982ac51",
|
||
X"e4e13f78",
|
||
X"802e8638",
|
||
X"80c35184",
|
||
X"3980ce51",
|
||
X"cadd3fcb",
|
||
X"d23fca87",
|
||
X"3f83d839",
|
||
X"0282bb05",
|
||
X"33028405",
|
||
X"82ba0533",
|
||
X"71828029",
|
||
X"05595580",
|
||
X"705d5980",
|
||
X"e451e4ab",
|
||
X"3fcaf43f",
|
||
X"80c151ca",
|
||
X"be3f83ea",
|
||
X"ae3f83ea",
|
||
X"8808792e",
|
||
X"82d63883",
|
||
X"eaa80880",
|
||
... | ... | |
X"38ff1870",
|
Also available in: Unified diff
Now builds ok - disabled some features to fit in device. Also optimised pokey mixer.