Project

General

Profile

« Previous | Next » 

Revision 213

Added by markw almost 11 years ago

Fix replay build

View differences:

common/a8core/address_decoder.vhdl
signal freezer_dout: std_logic_vector(7 downto 0);
signal freezer_request: std_logic;
signal freezer_request_complete: std_logic;
signal freezer_activate_n: std_logic;
BEGIN
-- register
......
end process;
-- freezer
freezer_activate_n <= not (freezer_enable and freezer_activate);
freezer: entity work.FreezerLogic
port map(
clk => clk,
......
d_in => data_write_next(7 downto 0),
rw => not write_enable_next,
reset_n => reset_n,
activate_n => not (freezer_enable and freezer_activate),
activate_n => freezer_activate_n,
dualpokey_n => '0',
disable_atari => freezer_disable_atari,
access_type => freezer_access_type,
replay/Replay.prj
Replay_Audio.vhd
Replay_MousePointer.vhd
cart_logic.vhd
freezer_logic.vhd
address_decoder.vhdl
antic_counter.vhdl
antic_dma_clock.vhdl

Also available in: Unified diff