Revision 210
Added by markw almost 11 years ago
mist/atari800core_mist.vhd | ||
---|---|---|
signal half_scandouble_enable_next : std_logic;
|
||
signal VIDEO_B : std_logic_vector(7 downto 0);
|
||
|
||
-- turbo freezer!
|
||
signal freezer_enable : std_logic;
|
||
signal freezer_activate: std_logic;
|
||
|
||
BEGIN
|
||
pal <= '1' when tv=1 else '0';
|
||
vga <= '1' when video=2 else '0';
|
||
... | ... | |
CONSOL_SELECT => CONSOL_SELECT_RAW,
|
||
CONSOL_OPTION => CONSOL_OPTION_RAW,
|
||
|
||
FKEYS => FKEYS
|
||
FKEYS => FKEYS,
|
||
FREEZER_ACTIVATE => freezer_activate
|
||
);
|
||
|
||
CONSOL_START <= CONSOL_START_RAW or (mist_buttons(1) and not(joy1_n(4)));
|
||
... | ... | |
PAL => PAL,
|
||
HALT => pause_atari,
|
||
THROTTLE_COUNT_6502 => speed_6502,
|
||
emulated_cartridge_select => emulated_cartridge_select
|
||
emulated_cartridge_select => emulated_cartridge_select,
|
||
freezer_enable => freezer_enable,
|
||
freezer_activate => freezer_activate
|
||
);
|
||
|
||
sdram_adaptor : entity work.sdram_statemachine
|
||
... | ... | |
ram_select <= zpu_out1(10 downto 8);
|
||
rom_select <= zpu_out1(16 downto 11);
|
||
emulated_cartridge_select <= zpu_out1(22 downto 17);
|
||
freezer_enable <= zpu_out1(25);
|
||
|
||
zpu_rom1: entity work.zpu_rom
|
||
port map(
|
mist_5200/zpu_rom.vhdl | ||
---|---|---|
X"00000000",
|
||
X"71fc0608",
|
||
X"0b0b80dd",
|
||
X"98738306",
|
||
X"d8738306",
|
||
X"10100508",
|
||
X"060b0b0b",
|
||
X"88a20400",
|
||
... | ... | |
X"00000000",
|
||
X"00000000",
|
||
X"810b80e0",
|
||
X"b80c5104",
|
||
X"f80c5104",
|
||
X"00000000",
|
||
X"00000000",
|
||
X"00000000",
|
||
... | ... | |
X"83e08008",
|
||
X"83e08408",
|
||
X"83e08808",
|
||
X"757580d7",
|
||
X"c62d5050",
|
||
X"757580d8",
|
||
X"872d5050",
|
||
X"83e08008",
|
||
X"5683e088",
|
||
X"0c83e084",
|
||
... | ... | |
X"e0800883",
|
||
X"e0840883",
|
||
X"e0880875",
|
||
X"7580d5da",
|
||
X"7580d69b",
|
||
X"2d505083",
|
||
X"e0800856",
|
||
X"83e0880c",
|
||
... | ... | |
X"51040000",
|
||
X"800489aa",
|
||
X"0489aa0b",
|
||
X"80cf9904",
|
||
X"80cfd504",
|
||
X"f43d0d7e",
|
||
X"8f3dec11",
|
||
X"56565890",
|
||
... | ... | |
X"78902e09",
|
||
X"810680cd",
|
||
X"3802ab05",
|
||
X"3380e0c0",
|
||
X"0b80e0c0",
|
||
X"3380e180",
|
||
X"0b80e180",
|
||
X"33575856",
|
||
X"8c397476",
|
||
X"2e8a3884",
|
||
... | ... | |
X"7451998c",
|
||
X"3f83e080",
|
||
X"080b0b80",
|
||
X"def85383",
|
||
X"dfb85383",
|
||
X"e0800852",
|
||
X"53ff8f3f",
|
||
X"83e08008",
|
||
X"a5380b0b",
|
||
X"80defc52",
|
||
X"80dfbc52",
|
||
X"7251fefe",
|
||
X"3f83e080",
|
||
X"0894380b",
|
||
X"0b80df80",
|
||
X"0b80dfc0",
|
||
X"527251fe",
|
||
X"ed3f83e0",
|
||
X"8008802e",
|
||
... | ... | |
X"3f83e080",
|
||
X"0833943d",
|
||
X"56547394",
|
||
X"3880e1c4",
|
||
X"3880e288",
|
||
X"52745184",
|
||
X"c6397d52",
|
||
X"785191d4",
|
||
... | ... | |
X"18585557",
|
||
X"738024ed",
|
||
X"38747f2e",
|
||
X"8638b8a2",
|
||
X"8638b8dd",
|
||
X"3f745f78",
|
||
X"ff1b7058",
|
||
X"5d58807a",
|
||
... | ... | |
X"24ed3880",
|
||
X"0b83e3a8",
|
||
X"0c800b83",
|
||
X"e3c80c0b",
|
||
X"0b80df84",
|
||
X"e3cc0c0b",
|
||
X"0b80dfc4",
|
||
X"518bad3f",
|
||
X"81800b83",
|
||
X"e3c80c0b",
|
||
X"0b80df8c",
|
||
X"e3cc0c0b",
|
||
X"0b80dfcc",
|
||
X"518b9d3f",
|
||
X"a80b83e3",
|
||
X"a80c7680",
|
||
... | ... | |
X"77793270",
|
||
X"30707207",
|
||
X"80257087",
|
||
X"2b83e3c8",
|
||
X"2b83e3cc",
|
||
X"0c515678",
|
||
X"53565696",
|
||
X"8d3f83e0",
|
||
X"8008802e",
|
||
X"8a380b0b",
|
||
X"80df9451",
|
||
X"80dfd451",
|
||
X"8ae23f76",
|
||
X"5195cd3f",
|
||
X"83e08008",
|
||
X"520b0b80",
|
||
X"dfc8518a",
|
||
X"e088518a",
|
||
X"cf3f7651",
|
||
X"95d33f83",
|
||
X"e0800883",
|
||
... | ... | |
X"83e08008",
|
||
X"78525595",
|
||
X"a93f0b0b",
|
||
X"80df9c54",
|
||
X"80dfdc54",
|
||
X"83e08008",
|
||
X"8f388739",
|
||
X"807634fd",
|
||
X"96390b0b",
|
||
X"80df9854",
|
||
X"80dfd854",
|
||
X"74537352",
|
||
X"0b0b80de",
|
||
X"e85189e8",
|
||
X"0b0b80df",
|
||
X"a85189e8",
|
||
X"3f80540b",
|
||
X"0b80def0",
|
||
X"0b80dfb0",
|
||
X"5189dd3f",
|
||
X"81145473",
|
||
X"a82e0981",
|
||
X"06ed3886",
|
||
X"8da051b4",
|
||
X"a53f8052",
|
||
X"d33f8052",
|
||
X"903d7052",
|
||
X"5480c2a6",
|
||
X"5480c2e7",
|
||
X"3f835273",
|
||
X"5180c29e",
|
||
X"5180c2df",
|
||
X"3f61802e",
|
||
X"80ff387b",
|
||
X"5473ff2e",
|
||
... | ... | |
X"5193ed3f",
|
||
X"83e08008",
|
||
X"520b0b80",
|
||
X"def451ab",
|
||
X"dfb451ab",
|
||
X"cb3f83e0",
|
||
X"8008a438",
|
||
X"7c51ad83",
|
||
... | ... | |
X"0d028f05",
|
||
X"3352800b",
|
||
X"9080fc34",
|
||
X"8a51b1fa",
|
||
X"8a51b2a8",
|
||
X"3fdf3f80",
|
||
X"f80b9080",
|
||
X"e034800b",
|
||
... | ... | |
X"08802ef6",
|
||
X"38823d0d",
|
||
X"04803d0d",
|
||
X"8439bae9",
|
||
X"8439bba5",
|
||
X"3ffed93f",
|
||
X"83e08008",
|
||
X"802ef338",
|
||
... | ... | |
X"54807325",
|
||
X"94387370",
|
||
X"81055533",
|
||
X"5280dfa0",
|
||
X"5280dfe0",
|
||
X"5185a13f",
|
||
X"ff1353e9",
|
||
X"39853d0d",
|
||
... | ... | |
X"80598155",
|
||
X"8539747a",
|
||
X"29557452",
|
||
X"755180c0",
|
||
X"bf3f83e0",
|
||
X"755180c1",
|
||
X"803f83e0",
|
||
X"80087a27",
|
||
X"ed387480",
|
||
X"2e80e038",
|
||
X"74527551",
|
||
X"80c0a93f",
|
||
X"80c0ea3f",
|
||
X"83e08008",
|
||
X"75537652",
|
||
X"5480c0cf",
|
||
X"5480c190",
|
||
X"3f83e080",
|
||
X"087a5375",
|
||
X"525680c0",
|
||
X"8f3f83e0",
|
||
X"d03f83e0",
|
||
X"80087930",
|
||
X"707b079f",
|
||
X"2a707780",
|
||
... | ... | |
X"0d04800b",
|
||
X"83e2d408",
|
||
X"248a38b9",
|
||
X"a93fff0b",
|
||
X"ea3fff0b",
|
||
X"83e2d40c",
|
||
X"800b83e0",
|
||
X"800c04ff",
|
||
... | ... | |
X"840c7583",
|
||
X"e2d40c74",
|
||
X"53765278",
|
||
X"51b7bc3f",
|
||
X"51b7fd3f",
|
||
X"83e08008",
|
||
X"83e38408",
|
||
X"1683e384",
|
||
... | ... | |
X"0c7483e0",
|
||
X"b40c7583",
|
||
X"e2d00cb4",
|
||
X"803f83e0",
|
||
X"c13f83e0",
|
||
X"800881ff",
|
||
X"06528153",
|
||
X"71993883",
|
||
... | ... | |
X"3d0d7b7d",
|
||
X"5a5a82d0",
|
||
X"5283e2d0",
|
||
X"0851b3c8",
|
||
X"0851b489",
|
||
X"3f83e080",
|
||
X"0857f9e2",
|
||
X"3f795283",
|
||
... | ... | |
X"81068283",
|
||
X"3883e0b4",
|
||
X"080b0b80",
|
||
X"def45370",
|
||
X"dfb45370",
|
||
X"52569bd3",
|
||
X"3f0b0b80",
|
||
X"def45280",
|
||
X"dfb45280",
|
||
X"c016519b",
|
||
X"c63f75bc",
|
||
X"170c7382",
|
||
... | ... | |
X"882a8c17",
|
||
X"08055289",
|
||
X"3dfc0551",
|
||
X"ae9c3f83",
|
||
X"aedd3f83",
|
||
X"e0800880",
|
||
X"df38029d",
|
||
X"0533893d",
|
||
... | ... | |
X"872a8c17",
|
||
X"08055289",
|
||
X"3dfc0551",
|
||
X"adec3f83",
|
||
X"aead3f83",
|
||
X"e08008b0",
|
||
X"38029f05",
|
||
X"33028405",
|
||
... | ... | |
X"ffab3976",
|
||
X"982b5574",
|
||
X"80258738",
|
||
X"80dca817",
|
||
X"80dce817",
|
||
X"3357ff9f",
|
||
X"17557499",
|
||
X"268938e0",
|
||
... | ... | |
X"852b83e0",
|
||
X"06545590",
|
||
X"1b08527c",
|
||
X"51a8a73f",
|
||
X"51a8e83f",
|
||
X"83e08008",
|
||
X"5783e080",
|
||
X"08818138",
|
||
... | ... | |
X"7c595782",
|
||
X"5483fe53",
|
||
X"77527651",
|
||
X"a6ec3f83",
|
||
X"a7ad3f83",
|
||
X"5683e080",
|
||
X"0880ec38",
|
||
X"81173377",
|
||
... | ... | |
X"0680d438",
|
||
X"7554b653",
|
||
X"77527651",
|
||
X"a6c03f83",
|
||
X"a7813f83",
|
||
X"e0800898",
|
||
X"38811733",
|
||
X"77337188",
|
||
... | ... | |
X"c62eac38",
|
||
X"825480d2",
|
||
X"53775276",
|
||
X"51a6973f",
|
||
X"51a6d83f",
|
||
X"83e08008",
|
||
X"98388117",
|
||
X"33773371",
|
||
... | ... | |
X"8a3d0d04",
|
||
X"eb3d0d67",
|
||
X"5a800b83",
|
||
X"e0980ca5",
|
||
X"cc3f83e0",
|
||
X"e0980ca6",
|
||
X"8d3f83e0",
|
||
X"80088106",
|
||
X"55825674",
|
||
X"83ee3874",
|
||
... | ... | |
X"0680d438",
|
||
X"905483be",
|
||
X"53745275",
|
||
X"51a5ab3f",
|
||
X"51a5ec3f",
|
||
X"83e08008",
|
||
X"80c9388f",
|
||
X"3d335574",
|
||
... | ... | |
X"38865682",
|
||
X"e739a454",
|
||
X"8d537852",
|
||
X"7551a4c2",
|
||
X"7551a583",
|
||
X"3f815683",
|
||
X"e0800882",
|
||
X"d33802be",
|
||
... | ... | |
X"842a903d",
|
||
X"33547171",
|
||
X"31535656",
|
||
X"a4ae3f83",
|
||
X"a4ef3f83",
|
||
X"e0800882",
|
||
X"0570881c",
|
||
X"0c83e080",
|
||
... | ... | |
X"0653a816",
|
||
X"08527957",
|
||
X"7b83387b",
|
||
X"5776519e",
|
||
X"e93f83e0",
|
||
X"5776519f",
|
||
X"aa3f83e0",
|
||
X"8008fed0",
|
||
X"38981608",
|
||
X"1598170c",
|
||
... | ... | |
X"892b5653",
|
||
X"76802eb5",
|
||
X"387452ff",
|
||
X"16519fb0",
|
||
X"16519ff1",
|
||
X"3f83e080",
|
||
X"08ff1876",
|
||
X"54705358",
|
||
X"539fa13f",
|
||
X"539fe23f",
|
||
X"83e08008",
|
||
X"73269638",
|
||
X"74307078",
|
||
... | ... | |
X"2b83e006",
|
||
X"5458901e",
|
||
X"08527851",
|
||
X"9af43f83",
|
||
X"9bb53f83",
|
||
X"e0800841",
|
||
X"83e08008",
|
||
X"ffb83878",
|
||
... | ... | |
X"81165675",
|
||
X"8180802e",
|
||
X"098106e4",
|
||
X"3886c33f",
|
||
X"3886fe3f",
|
||
X"893d58a2",
|
||
X"5380dea8",
|
||
X"5277519c",
|
||
X"c23f8057",
|
||
X"5380dee8",
|
||
X"5277519d",
|
||
X"833f8057",
|
||
X"8c805683",
|
||
X"e3a00816",
|
||
X"77195555",
|
||
... | ... | |
X"2b07720c",
|
||
X"5252833d",
|
||
X"0d04803d",
|
||
X"0d908080",
|
||
X"0870882c",
|
||
X"0d908090",
|
||
X"0870992c",
|
||
X"810683e0",
|
||
X"800c5182",
|
||
X"3d0d0480",
|
||
X"3d0d04ff",
|
||
X"3d0d9080",
|
||
X"80087089",
|
||
X"2c810683",
|
||
X"e0800c51",
|
||
X"823d0d04",
|
||
X"90700870",
|
||
X"ffbf0a06",
|
||
X"76992b07",
|
||
X"720c5252",
|
||
X"833d0d04",
|
||
X"803d0d90",
|
||
X"80800870",
|
||
X"8a2c8106",
|
||
X"882c8106",
|
||
X"83e0800c",
|
||
X"51823d0d",
|
||
X"04803d0d",
|
||
X"90808008",
|
||
X"708b2c81",
|
||
X"70892c81",
|
||
X"0683e080",
|
||
X"0c51823d",
|
||
X"0d04fe3d",
|
||
X"0d7481e6",
|
||
X"29872a90",
|
||
X"80a00c84",
|
||
X"3d0d04fe",
|
||
X"3d0d7575",
|
||
X"ff195353",
|
||
X"5370ff2e",
|
||
X"8d387272",
|
||
X"70810554",
|
||
X"34ff1151",
|
||
X"f039843d",
|
||
X"0d04fe3d",
|
||
X"0d7575ff",
|
||
X"19535353",
|
||
X"70ff2e8d",
|
||
X"38727270",
|
||
X"8405540c",
|
||
X"ff1151f0",
|
||
X"39843d0d",
|
||
X"0d04803d",
|
||
X"0d908080",
|
||
X"08708a2c",
|
||
X"810683e0",
|
||
X"800c5182",
|
||
X"3d0d0480",
|
||
X"3d0d9080",
|
||
X"8008708b",
|
||
X"2c810683",
|
||
X"e0800c51",
|
||
X"823d0d04",
|
||
X"fe3d0d74",
|
||
X"81e62987",
|
||
X"2a9080a0",
|
||
X"0c843d0d",
|
||
X"04fe3d0d",
|
||
X"81808053",
|
||
X"80528880",
|
||
X"0a51ffb3",
|
||
X"3fa08053",
|
||
X"80528280",
|
||
X"0a51c73f",
|
||
X"7575ff19",
|
||
X"53535370",
|
||
X"ff2e8d38",
|
||
X"72727081",
|
||
X"055434ff",
|
||
X"1151f039",
|
||
X"843d0d04",
|
||
X"803d0d81",
|
||
X"51fcc03f",
|
||
X"72802e83",
|
||
X"38d33f81",
|
||
X"51fcde3f",
|
||
X"8051fcd9",
|
||
X"3f8051fc",
|
||
X"aa3f823d",
|
||
X"0d04fd3d",
|
||
X"0d755280",
|
||
X"5480ff72",
|
||
X"25883881",
|
||
X"0bff8013",
|
||
X"5354ffbf",
|
||
X"12517099",
|
||
X"268638e0",
|
||
X"12529e39",
|
||
X"ff9f1251",
|
||
X"99712795",
|
||
X"38d012e0",
|
||
X"13705454",
|
||
X"51897127",
|
||
X"88388f73",
|
||
X"27833880",
|
||
X"5273802e",
|
||
X"85388180",
|
||
X"12527181",
|
||
X"ff0683e0",
|
||
X"800c853d",
|
||
X"fe3d0d75",
|
||
X"75ff1953",
|
||
X"535370ff",
|
||
X"2e8d3872",
|
||
X"72708405",
|
||
X"540cff11",
|
||
X"51f03984",
|
||
X"3d0d04fe",
|
||
X"3d0d8180",
|
||
X"80538052",
|
||
X"88800a51",
|
||
X"ffb33fa0",
|
||
X"80538052",
|
||
X"82800a51",
|
||
X"c73f843d",
|
||
X"0d04803d",
|
||
X"0d84d8c0",
|
||
X"51807170",
|
||
X"81055334",
|
||
X"7084e0c0",
|
||
X"2e098106",
|
||
X"f038823d",
|
||
X"0d04fe3d",
|
||
X"0d029705",
|
||
X"3351ff86",
|
||
X"3f83e080",
|
||
X"0881ff06",
|
||
X"83e3a808",
|
||
X"54528073",
|
||
X"249b3883",
|
||
X"e3c40813",
|
||
X"7283e3c8",
|
||
X"08075353",
|
||
X"71733483",
|
||
X"e3a80881",
|
||
X"0583e3a8",
|
||
X"0c843d0d",
|
||
X"04fa3d0d",
|
||
X"82800a1b",
|
||
X"55805788",
|
||
X"3dfc0554",
|
||
X"79537452",
|
||
X"7851d69a",
|
||
X"3f883d0d",
|
||
X"0d8151fc",
|
||
X"923f7280",
|
||
X"2e903880",
|
||
X"51fe943f",
|
||
X"ce3f80e1",
|
||
X"f43351fe",
|
||
X"8a3f8151",
|
||
X"fca33f80",
|
||
X"51fc9e3f",
|
||
X"8051fbef",
|
||
X"3f823d0d",
|
||
X"04fd3d0d",
|
||
X"75528054",
|
||
X"80ff7225",
|
||
X"8838810b",
|
||
X"ff801353",
|
||
X"54ffbf12",
|
||
X"51709926",
|
||
X"8638e012",
|
||
X"529e39ff",
|
||
X"9f125199",
|
||
X"71279538",
|
||
X"d012e013",
|
||
X"70545451",
|
||
X"89712788",
|
||
X"388f7327",
|
||
X"83388052",
|
||
X"73802e85",
|
||
X"38818012",
|
||
X"527181ff",
|
||
X"0683e080",
|
||
X"0c853d0d",
|
||
X"04803d0d",
|
||
X"84d8c051",
|
||
X"80717081",
|
||
X"05533470",
|
||
X"84e0c02e",
|
||
X"098106f0",
|
||
X"38823d0d",
|
||
X"04fe3d0d",
|
||
X"83e3c008",
|
||
X"527451dc",
|
||
X"fd3f83e0",
|
||
X"80088c38",
|
||
X"76537552",
|
||
X"83e3c008",
|
||
X"51c73f84",
|
||
X"3d0d04fe",
|
||
X"3d0d83e3",
|
||
X"c0085375",
|
||
X"527451d7",
|
||
X"bd3f83e0",
|
||
X"80088d38",
|
||
X"77537652",
|
||
X"83e3c008",
|
||
X"51ffa23f",
|
||
X"02970533",
|
||
X"51ff863f",
|
||
X"83e08008",
|
||
X"81ff0683",
|
||
X"e3a80854",
|
||
X"52807324",
|
||
X"9b3883e3",
|
||
X"c8081372",
|
||
X"83e3cc08",
|
||
X"07535371",
|
||
X"733483e3",
|
||
X"a8088105",
|
||
X"83e3a80c",
|
||
X"843d0d04",
|
||
X"fd3d0d83",
|
||
X"fa3d0d82",
|
||
X"800a1b55",
|
||
X"8057883d",
|
||
X"fc055479",
|
||
X"53745278",
|
||
X"51d5df3f",
|
||
X"883d0d04",
|
||
X"fe3d0d83",
|
||
X"e3c00852",
|
||
X"7451dcc2",
|
||
X"3f83e080",
|
||
X"088c3876",
|
||
X"53755283",
|
||
X"e3c00851",
|
||
X"d6b13f83",
|
||
X"e0800890",
|
||
X"802e0981",
|
||
X"06ad3880",
|
||
X"5483c180",
|
||
X"805383e0",
|
||
X"80085283",
|
||
X"c73f843d",
|
||
X"0d04fe3d",
|
||
X"0d83e3c0",
|
||
X"08537552",
|
||
X"7451d782",
|
||
X"3f83e080",
|
||
X"088d3877",
|
||
X"53765283",
|
||
X"e3c00851",
|
||
X"fef33f87",
|
||
X"c1808014",
|
||
X"3387c190",
|
||
X"80153481",
|
||
X"14547390",
|
||
X"802e0981",
|
||
X"06e93885",
|
||
X"3d0d0480",
|
||
X"dfa80b83",
|
||
X"e0800c04",
|
||
X"f73d0d80",
|
||
X"5a805980",
|
||
X"58807057",
|
||
X"57fde73f",
|
||
X"800b83e3",
|
||
X"a80c800b",
|
||
X"83e3c80c",
|
||
X"80dfac51",
|
||
X"d1963f81",
|
||
X"800b83e3",
|
||
X"c80c80df",
|
||
X"b051d188",
|
||
X"3f80d00b",
|
||
X"83e3a80c",
|
||
X"75307077",
|
||
X"ffa23f84",
|
||
X"3d0d04fd",
|
||
X"3d0d83e3",
|
||
X"c00851d5",
|
||
X"f63f83e0",
|
||
X"80089080",
|
||
X"2e098106",
|
||
X"ad388054",
|
||
X"83c18080",
|
||
X"5383e080",
|
||
X"085283e3",
|
||
X"c00851fe",
|
||
X"f33f87c1",
|
||
X"80801433",
|
||
X"87c19080",
|
||
X"15348114",
|
||
X"54739080",
|
||
X"2e098106",
|
||
X"e938853d",
|
||
X"0d0480df",
|
||
X"e80b83e0",
|
||
X"800c04f7",
|
||
X"3d0d805a",
|
||
X"80598058",
|
||
X"80705757",
|
||
X"fde73f80",
|
||
X"0b83e3a8",
|
||
X"0c800b83",
|
||
X"e3cc0c80",
|
||
X"dfec51d0",
|
||
X"db3f8180",
|
||
X"0b83e3cc",
|
||
X"0c80dff0",
|
||
X"51d0cd3f",
|
||
X"80d00b83",
|
||
X"e3a80c75",
|
||
X"30707707",
|
||
X"80257087",
|
||
X"2b83e3cc",
|
||
X"0c5154f9",
|
||
X"823f83e0",
|
||
X"80085280",
|
||
X"dff851d0",
|
||
X"a73f80f8",
|
||
X"0b83e3a8",
|
||
X"0c758132",
|
||
X"70307072",
|
||
X"07802570",
|
||
X"872b83e3",
|
||
X"c80c5154",
|
||
X"f9bd3f83",
|
||
X"cc0c5155",
|
||
X"55ff833f",
|
||
X"83e08008",
|
||
X"5280e084",
|
||
X"51cffd3f",
|
||
X"81a00b83",
|
||
X"e3a80c75",
|
||
X"82327030",
|
||
X"70720780",
|
||
X"2570872b",
|
||
X"83e3cc0c",
|
||
X"515583e3",
|
||
X"c0085255",
|
||
X"d1973f83",
|
||
X"e0800852",
|
||
X"80dfb851",
|
||
X"d0e23f80",
|
||
X"f80b83e3",
|
||
X"a80c7581",
|
||
X"80e08c51",
|
||
X"cfce3f81",
|
||
X"c80b83e3",
|
||
X"a80c7583",
|
||
X"32703070",
|
||
X"72078025",
|
||
X"70872b83",
|
||
X"e3c80c51",
|
||
X"5555ff83",
|
||
X"3f83e080",
|
||
X"085280df",
|
||
X"c451d0b8",
|
||
X"3f81a00b",
|
||
X"e3cc0c51",
|
||
X"5580e094",
|
||
X"5255cfac",
|
||
X"3f81f00b",
|
||
X"83e3a80c",
|
||
X"75823270",
|
||
X"75843270",
|
||
X"30707207",
|
||
X"80257087",
|
||
X"2b83e3c8",
|
||
X"0c515583",
|
||
X"e3c00852",
|
||
X"55d1d23f",
|
||
X"2b83e3cc",
|
||
X"0c515580",
|
||
X"e0a45255",
|
||
X"cf8a3f82",
|
||
X"980b83e3",
|
||
X"a80c7585",
|
||
X"32703070",
|
||
X"72078025",
|
||
X"70872b83",
|
||
X"e3cc0c51",
|
||
X"5580e0bc",
|
||
X"5255cee8",
|
||
X"3f82c00b",
|
||
X"83e3a80c",
|
||
X"75863270",
|
||
X"30707207",
|
||
X"80257087",
|
||
X"2b83e3cc",
|
||
X"0c515580",
|
||
X"e0d45255",
|
||
X"cec63f86",
|
||
X"8da051f9",
|
||
X"c73f8052",
|
||
X"883d7052",
|
||
X"5487dc3f",
|
||
X"83527351",
|
||
X"87d53f78",
|
||
X"16567580",
|
||
X"25853880",
|
||
X"56903986",
|
||
X"76258538",
|
||
X"86568739",
|
||
X"75862682",
|
||
X"db387584",
|
||
X"2980df8c",
|
||
X"05547308",
|
||
X"04f6d43f",
|
||
X"83e08008",
|
||
X"5280dfcc",
|
||
X"51d0893f",
|
||
X"81c80b83",
|
||
X"e3a80c75",
|
||
X"83327030",
|
||
X"70720780",
|
||
X"2570872b",
|
||
X"83e3c80c",
|
||
X"515580df",
|
||
X"d45255cf",
|
||
X"e73f81f0",
|
||
X"0b83e3a8",
|
||
X"0c758432",
|
||
X"70307072",
|
||
X"07802570",
|
||
X"872b83e3",
|
||
X"c80c5155",
|
||
X"80dfe452",
|
||
X"55cfc53f",
|
||
X"82980b83",
|
||
X"e3a80c75",
|
||
X"85327030",
|
||
X"70720780",
|
||
X"2570872b",
|
||
X"83e3c80c",
|
||
X"515580df",
|
||
X"fc5255cf",
|
||
X"a33f82c0",
|
||
X"0b83e3a8",
|
||
X"0c758632",
|
||
X"70307072",
|
||
X"07802570",
|
||
X"872b83e3",
|
||
X"c80c5155",
|
||
X"80e09452",
|
||
X"55cf813f",
|
||
X"868da051",
|
||
X"f9d43f80",
|
||
X"52883d70",
|
||
X"525487d6",
|
||
X"3f835273",
|
||
X"5187cf3f",
|
||
X"78165675",
|
||
X"78565474",
|
||
X"812e0981",
|
||
X"06893883",
|
||
X"e0800810",
|
||
X"54903974",
|
||
X"ff2e0981",
|
||
X"06883883",
|
||
X"e0800881",
|
||
X"2c549074",
|
||
X"25853890",
|
||
X"54883973",
|
||
X"80248338",
|
||
X"81547351",
|
||
X"f6ae3f82",
|
||
X"8f39f6c0",
|
||
X"3f83e080",
|
||
X"08185473",
|
||
X"80258538",
|
||
X"80569039",
|
||
X"86762585",
|
||
X"38865687",
|
||
X"39758626",
|
||
X"82da3875",
|
||
X"842980de",
|
||
X"cc055473",
|
||
X"0804f78f",
|
||
X"3f83e080",
|
||
X"08785654",
|
||
X"74812e09",
|
||
X"81068938",
|
||
X"83e08008",
|
||
X"10549039",
|
||
X"74ff2e09",
|
||
X"81068838",
|
||
X"83e08008",
|
||
X"812c5490",
|
||
X"74258538",
|
||
X"90548839",
|
||
X"73802483",
|
||
X"38815473",
|
||
X"51f6e93f",
|
||
X"828e39f6",
|
||
X"fb3f83e0",
|
||
X"80081854",
|
||
X"73802585",
|
||
X"38805488",
|
||
X"39877425",
|
||
X"83388754",
|
||
X"7351f6f5",
|
||
X"3f81ed39",
|
||
X"77873879",
|
||
X"802e81e4",
|
||
X"3883e0a4",
|
||
X"0883e0a0",
|
||
X"0c8bdf0b",
|
||
X"83e0a80c",
|
||
X"83e3c008",
|
||
X"51c0a23f",
|
||
X"fbb63f81",
|
||
X"80548839",
|
||
X"87742583",
|
||
X"38875473",
|
||
X"51f6ba3f",
|
||
X"81ee3977",
|
||
X"87387980",
|
||
X"2e81e538",
|
||
X"83e0a408",
|
||
X"83e0a00c",
|
||
X"8bdf0b83",
|
||
X"e0a80c83",
|
||
X"e3c00851",
|
||
X"ffbfe63f",
|
||
X"fbb53f81",
|
||
X"c7397980",
|
||
X"2e81c138",
|
||
X"83e09c08",
|
||
... | ... | |
X"8bdf0b83",
|
||
X"e0a80c83",
|
||
X"e3bc0851",
|
||
X"ffbffe3f",
|
||
X"ffbfc23f",
|
||
X"75832e09",
|
||
X"81069438",
|
||
X"81808053",
|
||
X"82808052",
|
||
X"83e3bc08",
|
||
X"51fa9a3f",
|
||
X"51fa993f",
|
||
X"81873975",
|
||
X"842e0981",
|
||
X"06af3882",
|
||
X"80805381",
|
||
X"80805283",
|
||
X"e3bc0851",
|
||
X"f9ff3f80",
|
||
X"f9fe3f80",
|
||
X"54848280",
|
||
X"80143384",
|
||
X"81808015",
|
||
... | ... | |
X"81808053",
|
||
X"80c08052",
|
||
X"83e3bc08",
|
||
X"51f9c63f",
|
||
X"51f9c53f",
|
||
X"82808053",
|
||
X"80c08052",
|
||
X"83e3bc08",
|
||
X"51f9b63f",
|
||
X"51f9b53f",
|
||
X"84818080",
|
||
X"14338481",
|
||
X"c0801534",
|
||
... | ... | |
X"3881548c",
|
||
X"39798738",
|
||
X"76802efa",
|
||
X"c4388054",
|
||
X"c3388054",
|
||
X"7383e080",
|
||
X"0c8b3d0d",
|
||
X"04ff3d0d",
|
||
X"f5e43f83",
|
||
X"f5d63f83",
|
||
X"e0800880",
|
||
X"2e863880",
|
||
X"5180dd39",
|
||
X"f5e93f83",
|
||
X"f5db3f83",
|
||
X"e0800880",
|
||
X"d138f689",
|
||
X"d138f5fb",
|
||
X"3f83e080",
|
||
X"08802eaa",
|
||
X"388151f3",
|
||
X"c63ff08d",
|
||
X"8a3fefd1",
|
||
X"3f800b83",
|
||
X"e3a80cf9",
|
||
X"f33f83e0",
|
||
X"f23f83e0",
|
||
X"800852ff",
|
||
X"0b83e3a8",
|
||
X"0cf2923f",
|
||
X"0cf1d63f",
|
||
X"71a43871",
|
||
X"51f3a43f",
|
||
X"a239f5c0",
|
||
X"51f2e83f",
|
||
X"a239f5b2",
|
||
X"3f83e080",
|
||
X"08802e97",
|
||
X"388151f3",
|
||
X"923fefd9",
|
||
X"388151f2",
|
||
X"d63fef9d",
|
||
X"3fff0b83",
|
||
X"e3a80cf1",
|
||
X"ec3f8151",
|
||
X"f6ba3f83",
|
||
X"b03f8151",
|
||
X"f6ac3f83",
|
||
X"3d0d04fc",
|
||
X"3d0d9080",
|
||
X"80528684",
|
||
X"808051d0",
|
||
X"bb3f83e0",
|
||
X"808051cf",
|
||
X"ff3f83e0",
|
||
X"8008b838",
|
||
X"80e1b451",
|
||
X"d4fe3f83",
|
||
X"80e1f851",
|
||
X"d4c23f83",
|
||
X"e0800883",
|
||
X"e3c00854",
|
||
X"80e0a053",
|
||
X"80e0e053",
|
||
X"83e08008",
|
||
X"5255cfda",
|
||
X"5255cf9e",
|
||
X"3f83e080",
|
||
X"088438f8",
|
||
X"ab3f8180",
|
||
X"aa3f8180",
|
||
X"80548280",
|
||
X"805380e0",
|
||
X"9c527451",
|
||
X"f7f53f81",
|
||
X"51f5e53f",
|
||
X"dc527451",
|
||
X"f7f43f81",
|
||
X"51f5d73f",
|
||
X"feb73ffc",
|
||
X"3983e08c",
|
||
X"080283e0",
|
||
X"8c0cfb3d",
|
||
X"0d0280e0",
|
||
X"ac0b83e0",
|
||
X"ec0b83e0",
|
||
X"a40c80e0",
|
||
X"b00b83e0",
|
||
X"f00b83e0",
|
||
X"9c0c80e0",
|
||
X"b40b83e0",
|
||
X"f40b83e0",
|
||
X"ac0c83e0",
|
||
X"8c08fc05",
|
||
X"0c800b83",
|
||
... | ... | |
X"e08c08f8",
|
||
X"050c83e0",
|
||
X"8c08f405",
|
||
X"0ccea93f",
|
||
X"0ccded3f",
|
||
X"83e08008",
|
||
X"8605fc06",
|
||
X"83e08c08",
|
||
... | ... | |
X"840583e0",
|
||
X"8c08f805",
|
||
X"0c0c51ca",
|
||
X"f23f83e0",
|
||
X"b63f83e0",
|
||
X"8c08f405",
|
||
X"08810583",
|
||
X"e08c08f4",
|
||
X"050c83e0",
|
||
X"8c08f405",
|
||
X"08862e09",
|
||
X"08872e09",
|
||
X"8106ffad",
|
||
X"38869480",
|
||
X"8051edbf",
|
||
X"8051ed83",
|
||
X"3fff0b83",
|
||
X"e3a80c80",
|
||
X"0b83e3cc",
|
||
X"0c84d8c0",
|
||
X"0b83e3c8",
|
||
X"0c84d8c0",
|
||
X"0b83e3c4",
|
||
X"0c8151f0",
|
||
X"f23f8151",
|
||
X"f1973f80",
|
||
X"51f1923f",
|
||
X"8151f1b8",
|
||
X"3f8151f2",
|
||
X"8d3f8251",
|
||
X"f1db3f80",
|
||
X"51f2b13f",
|
||
X"80c68a52",
|
||
X"8051c8b1",
|
||
X"3ffdcc3f",
|
||
X"83e08c08",
|
||
X"fc05080d",
|
||
X"800b83e0",
|
||
X"800c873d",
|
||
X"0d83e08c",
|
||
X"0c04fd3d",
|
||
X"0d755480",
|
||
X"740c800b",
|
||
X"84150c80",
|
||
X"0b88150c",
|
||
X"87d08933",
|
||
X"87d08f33",
|
||
X"70822a70",
|
||
X"81067030",
|
||
X"70720770",
|
||
X"09709f2c",
|
||
X"77069e06",
|
||
X"54515155",
|
||
X"51515353",
|
||
X"80729806",
|
||
X"52537088",
|
||
X"2e098106",
|
||
X"83388153",
|
||
X"70983270",
|
||
X"30708025",
|
||
X"75713184",
|
||
X"180c5151",
|
||
X"51807286",
|
||
X"b63f8151",
|
||
X"f0db3f80",
|
||
X"51f0d63f",
|
||
X"8151f0fc",
|
||
X"3f8151f1",
|
||
X"d13f8251",
|
||
X"f19f3f80",
|
||
X"51f1f53f",
|
||
X"8051f29f",
|
||
X"3f80c6c5",
|
||
X"528051c7",
|
||
X"f03ffdc7",
|
||
X"3f83e08c",
|
||
X"08fc0508",
|
||
X"0d800b83",
|
||
X"e0800c87",
|
||
X"3d0d83e0",
|
||
X"8c0c04fd",
|
||
X"3d0d7554",
|
||
X"80740c80",
|
||
X"0b84150c",
|
||
X"800b8815",
|
||
X"0c87d089",
|
||
X"3387d08f",
|
||
X"3370822a",
|
||
X"70810670",
|
||
X"30707207",
|
||
X"7009709f",
|
||
X"2c77069e",
|
||
X"06545151",
|
||
X"55515153",
|
||
X"53807298",
|
||
X"06525370",
|
||
X"822e0981",
|
||
X"882e0981",
|
||
X"06833881",
|
||
X"53708632",
|
||
X"53709832",
|
||
X"70307080",
|
||
X"25757131",
|
||
X"770c5151",
|
||
X"51719432",
|
||
X"70307080",
|
||
X"2588170c",
|
||
X"5151853d",
|
||
X"0d04fe3d",
|
||
X"0d747654",
|
||
X"527151fe",
|
||
X"ed3f7281",
|
||
X"2ea23881",
|
||
X"73268d38",
|
||
X"72822eab",
|
||
X"3872832e",
|
||
X"9f38e639",
|
||
X"7108e238",
|
||
X"841208dd",
|
||
X"38881208",
|
||
X"d838a039",
|
||
X"88120881",
|
||
X"2e098106",
|
||
X"cc389439",
|
||
X"88120881",
|
||
X"2e8d3871",
|
||
X"08893884",
|
||
X"1208802e",
|
||
X"ffb73884",
|
||
X"3d0d04ff",
|
||
X"3d0d7352",
|
||
X"7183e3d0",
|
||
X"082ea638",
|
||
X"71a00a07",
|
||
X"90809c0c",
|
||
X"90808c08",
|
||
X"5170802e",
|
||
X"f738800b",
|
||
X"90809c0c",
|
||
X"90808c08",
|
||
X"5170f938",
|
||
X"7183e3d0",
|
||
X"0c833d0d",
|
||
X"04ff0b83",
|
||
X"e3d00c81",
|
||
X"80800b83",
|
||
X"e3cc0c80",
|
||
X"0b83e080",
|
||
X"0c04fc3d",
|
||
X"0d760284",
|
||
X"05a20522",
|
||
X"028805a6",
|
||
X"05227a54",
|
||
X"555555ff",
|
||
X"9e3f7280",
|
||
X"2ea33883",
|
||
X"e3cc0814",
|
||
X"52713375",
|
||
X"70810557",
|
||
X"34811470",
|
||
X"84180c51",
|
||
X"51518072",
|
||
X"86065253",
|
||
X"70822e09",
|
||
X"81068338",
|
||
X"81537086",
|
||
X"32703070",
|
||
X"80257571",
|
||
X"31770c51",
|
||
X"51517194",
|
||
X"32703070",
|
||
X"80258817",
|
||
X"0c515185",
|
||
X"3d0d04fe",
|
||
X"3d0d7476",
|
||
X"54527151",
|
||
X"feed3f72",
|
||
X"812ea238",
|
||
X"8173268d",
|
||
X"3872822e",
|
||
X"ab387283",
|
||
X"2e9f38e6",
|
||
X"397108e2",
|
||
X"38841208",
|
||
X"dd388812",
|
||
X"08d838a0",
|
||
X"39881208",
|
||
X"812e0981",
|
||
X"06cc3894",
|
||
X"39881208",
|
||
X"812e8d38",
|
||
X"71088938",
|
||
X"84120880",
|
||
X"2effb738",
|
||
X"843d0d04",
|
||
X"ff3d0d73",
|
||
X"527183e3",
|
||
X"d4082ea6",
|
||
X"3871a00a",
|
||
X"0790809c",
|
||
X"0c90808c",
|
||
X"08517080",
|
||
X"2ef73880",
|
||
X"0b90809c",
|
||
X"0c90808c",
|
||
X"085170f9",
|
||
X"387183e3",
|
||
X"d40c833d",
|
||
X"0d04ff0b",
|
||
X"83e3d40c",
|
||
X"8180800b",
|
||
X"83e3d00c",
|
||
X"800b83e0",
|
||
X"800c04fc",
|
||
X"3d0d7602",
|
||
X"8405a205",
|
||
X"22028805",
|
||
X"a605227a",
|
||
X"54555555",
|
||
X"ff9e3f72",
|
||
X"802ea338",
|
||
X"83e3d008",
|
||
X"14527133",
|
||
X"75708105",
|
||
X"57348114",
|
||
X"7083ffff",
|
||
X"06ff1570",
|
||
X"83ffff06",
|
||
X"ff157083",
|
||
X"ffff0656",
|
||
X"525552da",
|
||
X"39800b83",
|
||
X"e0800c86",
|
||
X"3d0d04f7",
|
||
X"3d0d7b7d",
|
||
X"7f115855",
|
||
X"59805573",
|
||
X"762eb138",
|
||
X"83e3cc08",
|
||
X"8b3d5957",
|
||
X"74197033",
|
||
X"75fc0619",
|
||
X"70085d76",
|
||
X"83067b07",
|
||
X"53545451",
|
||
X"72713479",
|
||
X"720c8114",
|
||
X"81165654",
|
||
X"73762e09",
|
||
X"8106d938",
|
||
X"800b83e0",
|
||
X"800c8b3d",
|
||
X"0d04803d",
|
||
X"0d83e3d0",
|
||
X"08900a07",
|
||
X"90809c0c",
|
||
X"90808c08",
|
||
X"5170802e",
|
||
X"f738800b",
|
||
X"90809c0c",
|
||
X"90808c08",
|
||
X"5170f938",
|
||
X"823d0d04",
|
||
X"56525552",
|
||
X"da39800b",
|
||
X"83e0800c",
|
||
X"863d0d04",
|
||
X"f73d0d7b",
|
||
X"7d7f1158",
|
||
X"55598055",
|
||
X"73762eb1",
|
||
X"3883e3d0",
|
||
X"088b3d59",
|
||
X"57741970",
|
||
X"3375fc06",
|
||
X"1970085d",
|
||
X"7683067b",
|
||
X"07535454",
|
||
X"51727134",
|
||
X"79720c81",
|
||
X"14811656",
|
||
X"5473762e",
|
||
X"098106d9",
|
||
X"38800b83",
|
||
X"e0800c8b",
|
||
X"3d0d0480",
|
||
X"3d0d83e3",
|
||
X"d408900a",
|
||
X"0790809c",
|
||
X"0c90808c",
|
||
X"08517080",
|
||
X"2ef73880",
|
||
X"0b90809c",
|
||
X"0c90808c",
|
||
X"085170f9",
|
||
X"38823d0d",
|
||
X"0483e08c",
|
||
X"080283e0",
|
||
X"8c0cfd3d",
|
||
X"0d805383",
|
||
X"e08c088c",
|
||
X"05085283",
|
||
X"e08c0888",
|
||
X"05085183",
|
||
X"d43f83e0",
|
||
X"80087083",
|
||
X"e0800c54",
|
||
X"853d0d83",
|
||
X"e08c0c04",
|
||
X"83e08c08",
|
||
X"0283e08c",
|
||
X"0cfd3d0d",
|
||
X"805383e0",
|
||
X"815383e0",
|
||
X"8c088c05",
|
||
X"085283e0",
|
||
X"8c088805",
|
||
X"085183d4",
|
||
X"085183a1",
|
||
X"3f83e080",
|
||
X"087083e0",
|
||
X"800c5485",
|
||
... | ... | |
X"8c0c0483",
|
||
X"e08c0802",
|
||
X"83e08c0c",
|
||
X"fd3d0d81",
|
||
X"5383e08c",
|
||
X"088c0508",
|
||
X"5283e08c",
|
||
X"08880508",
|
||
X"5183a13f",
|
||
X"83e08008",
|
||
X"7083e080",
|
||
X"0c54853d",
|
||
X"0d83e08c",
|
||
X"0c0483e0",
|
||
X"8c080283",
|
||
X"e08c0cf9",
|
||
X"3d0d800b",
|
Also available in: Unified diff
Merged turbo freezer support from Hias. Working pretty well. PIA freezing not working yet though, so no joystick after freeze. Scoll lock to freeze.