Project

General

Profile

« Previous | Next » 

Revision 162

Added by markw about 11 years ago

Add 32GB SD support

View differences:

mist/atari800core_mist.vhd
signal mist_sector_request_sync : std_logic;
signal mist_sector_write : std_logic;
signal mist_sector_write_sync : std_logic;
signal mist_sector : std_logic_vector(23 downto 0);
signal mist_sector_sync : std_logic_vector(23 downto 0);
signal mist_sector : std_logic_vector(25 downto 0);
signal mist_sector_sync : std_logic_vector(25 downto 0);
signal mist_addr : std_logic_vector(8 downto 0);
......
read_request => mist_sector_request_sync,
write_request => mist_sector_write_sync,
--request => mist_sector_request_sync,
sector => mist_sector_sync(23 downto 0),
sector => mist_sector_sync(25 downto 0),
ready => mist_sector_ready,
-- DMA to RAM
......
sector_sync23 : entity work.synchronizer
PORT MAP ( CLK => spi_sck, raw => mist_sector(23), sync=>mist_sector_sync(23));
sector_sync24 : entity work.synchronizer
PORT MAP ( CLK => spi_sck, raw => mist_sector(24), sync=>mist_sector_sync(24));
sector_sync25 : entity work.synchronizer
PORT MAP ( CLK => spi_sck, raw => mist_sector(25), sync=>mist_sector_sync(25));
spi_do <= spi_miso_io when CONF_DATA0 ='0' else spi_miso_data when spi_SS2='0' else 'Z';
......
ZPU_OUT4 => zpu_out4
);
mist_sector <= zpu_out4(23 downto 0);
mist_sector_request <= zpu_out4(24);
mist_sector_write <= zpu_out4(25);
mist_sector <= zpu_out4(25 downto 0);
mist_sector_request <= zpu_out4(26);
mist_sector_write <= zpu_out4(27);
pause_atari <= zpu_out1(0);
reset_atari <= zpu_out1(1);
mist/data_io.vhdl
-- Sector access read_request
read_request : in std_logic;
write_request : in std_logic;
sector : in std_logic_vector(23 downto 0);
sector : in std_logic_vector(25 downto 0);
ready : out std_logic;
-- DMA to RAM
......
signal transmit_next : std_logic_vector(7 downto 0);
signal transmit_reg : std_logic_vector(7 downto 0);
signal sector_next : std_logic_vector(23 downto 0);
signal sector_reg : std_logic_vector(23 downto 0);
signal sector_next : std_logic_vector(25 downto 0);
signal sector_reg : std_logic_vector(25 downto 0);
signal read_request_next : std_logic;
signal read_request_reg : std_logic;
......
when X"50" => --get status
case cnt_reg is
when X"0008" =>
transmit_next <= sector_reg(23 downto 16);
transmit_next <= sector_reg(25 downto 18);
when X"0010" =>
transmit_next <= sector_reg(15 downto 8);
transmit_next <= sector_reg(17 downto 10);
when X"0018" =>
transmit_next <= sector_reg(7 downto 0);
transmit_next <= sector_reg(9 downto 2);
when X"0020" =>
transmit_next <= "101001"&write_request_reg&read_request_reg; --read read_request
transmit_next <= sector_reg(1 downto 0)&"1010"&write_request_reg&read_request_reg; --read read_request
when others =>
-- nothing
end case;
mist/zpu_rom.vhdl
X"00000000",
X"71fc0608",
X"0b0b80f0",
X"c0738306",
X"bc738306",
X"10100508",
X"060b0b0b",
X"88a20400",
......
X"00000000",
X"00000000",
X"810b80f3",
X"940c5104",
X"900c5104",
X"00000000",
X"00000000",
X"00000000",
......
X"83e08408",
X"83e08808",
X"757580ea",
X"ed2d5050",
X"eb2d5050",
X"83e08008",
X"5683e088",
X"0c83e084",
......
X"e0800883",
X"e0840883",
X"e0880875",
X"7580e981",
X"7580e8ff",
X"2d505083",
X"e0800856",
X"83e0880c",
......
X"0489aa0b",
X"96ea0480",
X"3d0d80f4",
X"d8087008",
X"d4087008",
X"810683e0",
X"800c5182",
X"3d0d04ff",
X"3d0d80f4",
X"d8087008",
X"d4087008",
X"70fe0676",
X"07720c52",
X"52833d0d",
X"04803d0d",
X"80f4d808",
X"80f4d408",
X"70087081",
X"2c810683",
X"e0800c51",
X"51823d0d",
X"04ff3d0d",
X"80f4d808",
X"80f4d408",
X"700870fd",
X"06761007",
X"720c5252",
X"833d0d04",
X"803d0d80",
X"f4d80870",
X"f4d40870",
X"0870822c",
X"bf0683e0",
X"800c5151",
X"823d0d04",
X"ff3d0d80",
X"f4d80870",
X"f4d40870",
X"0870fe83",
X"0676822b",
X"07720c52",
X"52833d0d",
X"04803d0d",
X"80f4d808",
X"80f4d408",
X"70087088",
X"2c870683",
X"e0800c51",
X"51823d0d",
X"04ff3d0d",
X"80f4d808",
X"80f4d408",
X"700870f1",
X"ff067688",
X"2b07720c",
X"5252833d",
X"0d04803d",
X"0d80f4d8",
X"0d80f4d4",
X"08700870",
X"8b2cbf06",
X"83e0800c",
X"5151823d",
X"0d04ff3d",
X"0d80f4d8",
X"0d80f4d4",
X"08700870",
X"f88fff06",
X"768b2b07",
X"720c5252",
X"833d0d04",
X"803d0d80",
X"f4e80870",
X"f4e40870",
X"0870882c",
X"810683e0",
X"800c5151",
X"823d0d04",
X"803d0d80",
X"f4e80870",
X"f4e40870",
X"0870892c",
X"810683e0",
X"800c5151",
X"823d0d04",
X"803d0d80",
X"f4e80870",
X"f4e40870",
X"08708a2c",
X"810683e0",
X"800c5151",
X"823d0d04",
X"803d0d80",
X"f4e80870",
X"f4e40870",
X"08708b2c",
X"810683e0",
X"800c5151",
X"823d0d04",
X"fd3d0d75",
X"81e62987",
X"2a80f4c8",
X"2a80f4c4",
X"0854730c",
X"853d0d04",
X"fe3d0d75",
......
X"803d0dfa",
X"cc3f83e0",
X"80088429",
X"80f39c05",
X"80f39805",
X"700883e0",
X"800c5182",
X"3d0d04ee",
......
X"0b83e09c",
X"0c800b83",
X"e0bc0c0b",
X"0b80f1dc",
X"0b80f1d8",
X"51afdd3f",
X"81800b83",
X"e0bc0c0b",
X"0b80f1e0",
X"0b80f1dc",
X"51afcd3f",
X"80d00b83",
X"e09c0c78",
......
X"0c5155f9",
X"b73f83e0",
X"8008520b",
X"0b80f1e8",
X"0b80f1e4",
X"51afa53f",
X"80f80b83",
X"e09c0c78",
......
X"515656fe",
X"eb3f83e0",
X"8008520b",
X"0b80f1f4",
X"0b80f1f0",
X"51aef93f",
X"81a00b83",
X"e09c0c78",
......
X"b0943f83",
X"e0800852",
X"0b0b80f1",
X"fc51aec8",
X"f851aec8",
X"3f81f00b",
X"83e09c0c",
X"810b83e0",
......
X"c93f7454",
X"83e08008",
X"5377520b",
X"0b80f284",
X"0b80f280",
X"51adf93f",
X"a81783e0",
X"9c0c8118",
......
X"872b83e0",
X"bc0c5156",
X"0b0b80f2",
X"945256ad",
X"905256ad",
X"c33f83e0",
X"0b83e09c",
X"0c788832",
......
X"872b83e0",
X"bc0c5156",
X"0b0b80f2",
X"a85256ad",
X"a45256ad",
X"9f3f868d",
X"a051f990",
X"3f805291",
......
X"59873978",
X"882682ae",
X"3878822b",
X"5580f0d0",
X"5580f0cc",
X"150804f6",
X"e33f83e0",
X"80086157",
......
X"83e08008",
X"80d33893",
X"b53f80f6",
X"a051b1f8",
X"9c51b1f8",
X"3f83e080",
X"0883e0b4",
X"08540b0b",
X"80f2b053",
X"80f2ac53",
X"83e08008",
X"5283e08c",
X"08f4050c",
......
X"9c800a54",
X"80c08053",
X"0b0b80f2",
X"bc5283e0",
X"b85283e0",
X"8c08f405",
X"0851f681",
X"3f8151f3",
......
X"8480800b",
X"83e0c80c",
X"04f03d0d",
X"80f3d008",
X"80f3cc08",
X"54733383",
X"e0cc3483",
X"a0805683",
......
X"81165675",
X"83a8902e",
X"098106db",
X"3880f3d0",
X"3880f3cc",
X"0854ff74",
X"34805683",
X"e0c40816",
......
X"098106e4",
X"38f2ed3f",
X"893d58a2",
X"5380f0f4",
X"5380f0f0",
X"52775180",
X"d4983f80",
X"d4963f80",
X"578c8056",
X"83e0c808",
X"16771955",
......
X"18585676",
X"a22e0981",
X"06e63880",
X"f3f00854",
X"86743480",
X"f3f40854",
X"86743480",
X"f3f80854",
X"80743480",
X"f3f00854",
X"f3ec0854",
X"80743480",
X"f3e00854",
X"f3dc0854",
X"af743480",
X"f3ec0854",
X"f3e80854",
X"bf743480",
X"f3e80854",
X"f3e40854",
X"80743480",
X"f3e40854",
X"f3e00854",
X"9f743480",
X"f3dc0854",
X"f3d80854",
X"80743480",
X"f3c80854",
X"f3c40854",
X"e0743480",
X"f3c00854",
X"f3bc0854",
X"76743480",
X"f3bc0854",
X"f3b80854",
X"83743480",
X"f3c40854",
X"f3c00854",
X"82743492",
X"3d0d04fe",
X"3d0d8053",
......
X"81135372",
X"83a8902e",
X"098106e4",
X"3880f3d0",
X"3880f3cc",
X"085183e0",
X"cc337134",
X"843d0d04",
......
X"800b8415",
X"0c800b88",
X"150c80f3",
X"d4087033",
X"d0087033",
X"7081ff06",
X"70812a81",
X"32718132",
......
X"06718106",
X"31790c52",
X"55515151",
X"80f3cc08",
X"80f3c808",
X"70337009",
X"81068817",
X"0c515185",
......
X"0880c138",
X"7451aba4",
X"3f83e080",
X"0880f2d8",
X"0880f2d4",
X"5383e080",
X"085253ff",
X"8f3f83e0",
X"8008a138",
X"80f2dc52",
X"80f2d852",
X"7251ff80",
X"3f83e080",
X"08923880",
X"f2e05272",
X"f2dc5272",
X"51fef13f",
X"83e08008",
X"802e8338",
......
X"5383e080",
X"08973873",
X"51aac93f",
X"80f2e452",
X"80f2e052",
X"83e08008",
X"51feb93f",
X"83e08008",
......
X"80083394",
X"3d565473",
X"943880f6",
X"b0527451",
X"ac527451",
X"84b3397d",
X"527851a3",
X"f33f84be",
......
X"800b83e0",
X"9c0c800b",
X"83e0bc0c",
X"80f2e851",
X"80f2e451",
X"9dce3f81",
X"800b83e0",
X"bc0c80f2",
X"f0519dc0",
X"ec519dc0",
X"3fa80b83",
X"e09c0c76",
X"802e80e4",
......
X"a8b03f83",
X"e0800880",
X"2e883880",
X"f2f8519d",
X"f2f4519d",
X"873f7651",
X"a7f23f83",
X"e0800852",
X"80f29051",
X"80f28c51",
X"9cf63f76",
X"51a7fa3f",
X"83e08008",
......
X"3f83e080",
X"08785255",
X"a7d03f80",
X"f3805483",
X"f2fc5483",
X"e080088d",
X"38873980",
X"7634fda0",
X"3980f2fc",
X"3980f2f8",
X"54745373",
X"5280f2cc",
X"5280f2c8",
X"519c953f",
X"805480f3",
X"88519c8c",
X"84519c8c",
X"3f811454",
X"73a82e09",
X"8106ef38",
......
X"96387851",
X"a69e3f83",
X"e0800852",
X"80f2d451",
X"80f2d051",
X"bfc13f83",
X"e08008a5",
X"387c5180",
......
X"7683e2f4",
X"0c775198",
X"e53f80f2",
X"dc5283e0",
X"d85283e0",
X"800851f6",
X"873f83e0",
X"8008812e",
......
X"940880fc",
X"055580fd",
X"527451b5",
X"ba3f83e0",
X"b83f83e0",
X"80085b77",
X"8224b238",
X"ff187087",
X"2b83ffff",
X"800680f4",
X"ec0583e0",
X"e80583e0",
X"dc595755",
X"81805575",
X"70810557",
......
X"7782e92e",
X"09810681",
X"b13880f3",
X"84518cd4",
X"80518cd4",
X"3f785877",
X"87327030",
X"70720780",
......
X"800b8513",
X"34863d0d",
X"04fe3d0d",
X"80f48408",
X"80f48008",
X"70337081",
X"ff067084",
X"2a813281",
X"06555152",
X"5371802e",
X"8c38a873",
X"3480f484",
X"3480f480",
X"0851b871",
X"347183e0",
X"800c843d",
X"0d04fe3d",
X"0d80f484",
X"0d80f480",
X"08703370",
X"81ff0670",
X"852a8132",
......
X"52537180",
X"2e8c3898",
X"733480f4",
X"840851b8",
X"800851b8",
X"71347183",
X"e0800c84",
X"3d0d0480",
X"3d0d80f4",
X"80085193",
X"3d0d80f3",
X"fc085193",
X"713480f4",
X"8c0851ff",
X"880851ff",
X"7134823d",
X"0d04fe3d",
X"0d029305",
X"3380f480",
X"3380f3fc",
X"08535380",
X"72348a51",
X"d3be3fd3",
X"3f80f490",
X"3f80f48c",
X"085280f8",
X"723480f4",
X"a8085280",
X"a4085280",
X"7234fa13",
X"80f4b008",
X"80f4ac08",
X"53537272",
X"3480f498",
X"3480f494",
X"08528072",
X"3480f4a0",
X"3480f49c",
X"08527272",
X"3480f484",
X"3480f480",
X"08528072",
X"3480f484",
X"3480f480",
X"0852b872",
X"34843d0d",
X"04ff3d0d",
X"028f0533",
X"80f48808",
X"80f48408",
X"52527171",
X"34fe9e3f",
X"83e08008",
......
X"feb83f83",
X"e0800880",
X"2ef33880",
X"f4880870",
X"f4840870",
X"337081ff",
X"0683e080",
X"0c515182",
X"3d0d0480",
X"3d0d80f4",
X"800851a3",
X"3d0d80f3",
X"fc0851a3",
X"713480f4",
X"8c0851ff",
X"880851ff",
X"713480f4",
X"840851a8",
X"800851a8",
X"713480f4",
X"840851b8",
X"800851b8",
X"7134823d",
X"0d04803d",
X"0d80f480",
X"0d80f3fc",
X"08703370",
X"81c00670",
X"30708025",
......
X"51515151",
X"823d0d04",
X"ff3d0d80",
X"f4840870",
X"f4800870",
X"337081ff",
X"0670832a",
X"81327081",
......
X"52527080",
X"2ee538b0",
X"723480f4",
X"840851b8",
X"800851b8",
X"7134833d",
X"0d04803d",
X"0d80f4bc",
X"0d80f4b8",
X"08700881",
X"0683e080",
X"0c51823d",
......
X"54807325",
X"94387370",
X"81055533",
X"5280f38c",
X"5280f388",
X"51859d3f",
X"ff1353e9",
X"39853d0d",
......
X"80598155",
X"8539747a",
X"29557452",
X"7551ad8b",
X"7551ad89",
X"3f83e080",
X"087a27ee",
X"3874802e",
X"80dd3874",
X"527551ac",
X"f63f83e0",
X"f43f83e0",
X"80087553",
X"765254ad",
X"9d3f83e0",
X"9b3f83e0",
X"80087a53",
X"755256ac",
X"de3f83e0",
X"dc3f83e0",
X"80087930",
X"707b079f",
X"2a707780",
......
X"3d0d0480",
X"0b83e5bc",
X"08248a38",
X"a5f53fff",
X"a5f43fff",
X"0b83e5bc",
X"0c800b83",
X"e0800c04",
......
X"e5ec0c75",
X"83e5bc0c",
X"74537652",
X"7851a488",
X"7851a487",
X"3f83e080",
X"0883e5ec",
X"081683e5",
......
X"0c7483e3",
X"9c0c7583",
X"e5b80ca0",
X"cd3f83e0",
X"cc3f83e0",
X"800881ff",
X"06528153",
X"71993883",
......
X"3d0d7b7d",
X"5a5a82d0",
X"5283e5b8",
X"0851a097",
X"0851a095",
X"3f83e080",
X"0857f9e3",
X"3f795283",
......
X"81068283",
X"3883e39c",
X"080b0b80",
X"f2d45370",
X"f2d05370",
X"52559d96",
X"3f0b0b80",
X"f2d45280",
X"f2d05280",
X"c015519d",
X"893f74bc",
X"160c7282",
......
X"a9397554",
X"76538c18",
X"08155279",
X"519ab43f",
X"519ab33f",
X"83e08008",
X"81bd3875",
X"5483e080",
X"08538c18",
X"08158105",
X"528c3dfd",
X"05519a97",
X"05519a96",
X"3f83e080",
X"0881a038",
X"02a90533",
......
X"2a8c1908",
X"05528c3d",
X"fc055199",
X"d63f83e0",
X"d53f83e0",
X"800880df",
X"3802a905",
X"338c3d33",
......
X"2a8c1908",
X"05528c3d",
X"fc055199",
X"a63f83e0",
X"a53f83e0",
X"8008b038",
X"02ab0533",
X"028405aa",
......
X"2b83e006",
X"5455901b",
X"08527b51",
X"94ad3f83",
X"94ac3f83",
X"e0800857",
X"83e08008",
X"8182387b",
......
X"7a7c5957",
X"825483fe",
X"53775276",
X"5192bc3f",
X"5192bb3f",
X"835683e0",
X"800880ec",
X"38811733",
......
X"810680d4",
X"387554b6",
X"53775276",
X"5192903f",
X"51928f3f",
X"83e08008",
X"98388117",
X"33773371",
......
X"82c62eac",
X"38825480",
X"d2537752",
X"765191e7",
X"765191e6",
X"3f83e080",
X"08983881",
X"17337733",
......
X"83e0980c",
X"78567880",
X"2e83e838",
X"91943f83",
X"91933f83",
X"e0800881",
X"06558256",
X"7483d838",
......
X"810680d4",
X"38905483",
X"be537452",
X"765190f3",
X"765190f2",
X"3f83e080",
X"0880c938",
X"8e3d3355",
......
X"82d139a4",
X"548d5377",
X"52765190",
X"8a3f8156",
X"893f8156",
X"83e08008",
X"82bd3802",
X"ba053302",
......
X"842a8f3d",
X"33547171",
X"31535656",
X"8ff93f83",
X"8ff73f83",
X"e0800882",
X"0570881b",
X"0c709ff6",
......
X"53a81708",
X"5279557b",
X"83387b55",
X"74518aaf",
X"74518aae",
X"3f83e080",
X"08a43898",
X"17081698",
......
X"892b5653",
X"76802eb5",
X"387452ff",
X"16518ae7",
X"16518ae5",
X"3f83e080",
X"08ff1876",
X"54705358",
X"538ad83f",
X"538ad63f",
X"83e08008",
X"73269638",
X"74307078",
......
X"83e00654",
X"58901d08",
X"52785186",
X"8a3f83e0",
X"893f83e0",
X"80084183",
X"e08008ff",
X"b8387833",
......
X"0d04fd3d",
X"0d755473",
X"83e68408",
X"2ea83880",
X"f4cc0874",
X"81800a07",
X"2ea73880",
X"f4c80874",
X"a00a0771",
X"0c80f4d8",
X"08535371",
X"08517080",
X"2ef93880",
X"730c7108",
X"5170fb38",
X"7383e684",
X"0c853d0d",
X"04ff0b83",
X"e6840c81",
X"80800b83",
X"e6800c80",
X"0b83e080",
X"0c04fc3d",
X"0d760284",
X"05a20522",
X"028805a6",
X"05227a54",
X"555555ff",
X"9d3f7280",
X"2ea33883",
X"e6800814",
X"52713375",
X"70810557",
X"34811470",
X"83ffff06",
X"ff157083",
X"ffff0656",
X"525552da",
X"39800b83",
X"e0800c86",
X"3d0d04f7",
X"3d0d7b7d",
X"7f115855",
X"59805573",
X"762eb138",
X"83e68008",
X"8b3d5957",
X"74197033",
X"75fc0619",
X"70085d76",
X"83067b07",
X"53545451",
X"72713479",
X"720c8114",
X"81165654",
X"73762e09",
X"8106d938",
X"800b83e0",
X"800c8b3d",
X"0d04fe3d",
X"0d80f4c8",
X"0883e684",
X"08900a07",
X"710c80f4",
X"dc085353",
X"d8085353",
X"71085170",
X"802ef938",
X"80730c71",
X"085170fb",
X"387383e6",
X"840c853d",
X"0d04ff0b",
X"83e6840c",
X"8180800b",
X"83e6800c",
X"800b83e0",
X"800c04fc",
X"3d0d7602",
X"8405a205",
X"22028805",
X"a605227a",
X"54555555",
X"ff9c3f72",
X"802ea338",
X"83e68008",
X"14527133",
X"75708105",
X"57348114",
X"7083ffff",
X"06ff1570",
X"83ffff06",
X"56525552",
X"da39800b",
X"83e0800c",
X"863d0d04",
X"f73d0d7b",
X"7d7f1158",
X"55598055",
X"73762eb1",
X"3883e680",
X"088b3d59",
X"57741970",
X"3375fc06",
X"1970085d",
X"7683067b",
X"07535454",
X"51727134",
X"79720c81",
X"14811656",
X"5473762e",
X"098106d9",
X"38800b83",
X"e0800c8b",
X"3d0d04fe",
X"3d0d80f4",
X"cc0883e6",
X"840880c0",
X"0a07710c",
X"80f4dc08",
X"53537108",
X"5170802e",
X"f9388073",
X"0c710851",
X"70fb3884",
X"3d0d0483",
X"38843d0d",
X"0483e08c",
X"080283e0",
X"8c0cfd3d",
X"0d805383",
X"e08c088c",
X"05085283",
X"e08c0888",
X"05085183",
X"d43f83e0",
X"80087083",
X"e0800c54",
X"853d0d83",
X"e08c0c04",
X"83e08c08",
X"0283e08c",
X"0cfd3d0d",
X"815383e0",
X"8c088c05",
X"085283e0",
X"8c088805",
X"085183a1",
X"3f83e080",
X"087083e0",
X"800c5485",
X"3d0d83e0",
X"8c0c0483",
X"e08c0802",
X"83e08c0c",
X"fd3d0d80",
X"5383e08c",
X"088c0508",
X"5283e08c",
X"08880508",
X"5183d43f",
X"83e08008",
X"7083e080",
X"0c54853d",
X"0d83e08c",
X"0c0483e0",
X"8c080283",
X"e08c0cfd",
X"3d0d8153",
X"f93d0d80",
X"0b83e08c",
X"08fc050c",
X"83e08c08",
X"8c050852",
X"88050880",
X"25b93883",
X"e08c0888",
X"05083083",
X"e08c0888",
X"050c800b",
X"83e08c08",
X"88050851",
X"83a13f83",
X"e0800870",
X"83e0800c",
X"54853d0d",
X"83e08c0c",
X"0483e08c",
X"080283e0",
X"8c0cf93d",
X"0d800b83",
X"f4050c83",
X"e08c08fc",
X"050c83e0",
X"8c088805",
X"088025b9",
X"3883e08c",
X"08880508",
X"3083e08c",
X"0888050c",
X"800b83e0",
X"05088a38",
X"810b83e0",
X"8c08f405",
X"0c83e08c",
X"08fc0508",
X"8a38810b",
X"08f40508",
X"83e08c08",
X"f4050c83",
X"e08c08f4",
X"050883e0",
X"fc050c83",
X"e08c088c",
X"05088025",
X"b93883e0",
X"8c088c05",
X"083083e0",
X"8c088c05",
X"0c800b83",
X"e08c08f0",
X"050c83e0",
X"8c08fc05",
X"0c83e08c",
X"088c0508",
X"8025b938",
X"83e08c08",
X"8c050830",
X"83e08c08",
X"8c050c80",
X"088a3881",
X"0b83e08c",
X"08f0050c",
X"83e08c08",
X"fc05088a",
X"38810b83",
X"e08c08f0",
X"050c83e0",
X"8c08f005",
X"0883e08c",
X"08fc050c",
X"805383e0",
X"8c088c05",
X"085283e0",
X"8c088805",
X"085181df",
X"3f83e080",
X"087083e0",
X"8c08f805",
X"0c5483e0",
X"8c08fc05",
X"08802e90",
X"3883e08c",
X"08f80508",
X"3083e08c",
X"08f8050c",
X"83e08c08",
X"f8050870",
X"83e0800c",
X"54893d0d",
X"83e08c0c",
X"0483e08c",
X"080283e0",
X"8c0cfb3d",
X"0d800b83",
X"f0050883",
X"e08c08fc",
X"050c83e0",
X"8c088805",
X"08802599",
X"3883e08c",
X"08880508",
X"3083e08c",
X"0888050c",
X"810b83e0",
X"8c08fc05",
X"0c83e08c",
X"088c0508",
X"80259038",
X"050c8053",
X"83e08c08",
X"8c050830",
X"8c050852",
X"83e08c08",
X"8c050c81",
X"5383e08c",
X"088c0508",
X"5283e08c",
X"08880508",
X"51bd3f83",
X"88050851",
X"81df3f83",
X"e0800870",
X"83e08c08",
X"f8050c54",
......
X"050c83e0",
X"8c08f805",
X"087083e0",
X"800c5487",
X"800c5489",
X"3d0d83e0",
X"8c0c0483",
X"e08c0802",
X"83e08c0c",
X"fd3d0d81",
X"fb3d0d80",
X"0b83e08c",
X"08fc050c",
X"800b83e0",
X"8c08f805",
X"0c83e08c",
X"088c0508",
X"83e08c08",
X"88050827",
X"b93883e0",
X"8c08fc05",
X"08802eae",
X"38800b83",
X"88050880",
X"25993883",
X"e08c0888",
X"05083083",
X"e08c0888",
X"050c810b",
X"83e08c08",
X"fc050c83",
X"e08c088c",
X"050824a2",
X"3883e08c",
X"088c0508",
X"1083e08c",
X"088c050c",
X"83e08c08",
X"fc050810",
X"83e08c08",
X"fc050cff",
X"b83983e0",
X"8c08fc05",
X"08802e80",
X"e13883e0",
X"05088025",
X"903883e0",
X"8c088c05",
X"0883e08c",
X"08880508",
X"26ad3883",
X"083083e0",
X"8c088c05",
X"0c815383",
X"e08c088c",
X"05085283",
X"e08c0888",
X"050883e0",
X"8c088c05",
X"083183e0",
X"8c088805",
X"0c83e08c",
X"050851bd",
X"3f83e080",
X"087083e0",
X"8c08f805",
X"0c5483e0",
X"8c08fc05",
X"08802e90",
X"3883e08c",
X"08f80508",
X"3083e08c",
X"08f8050c",
X"83e08c08",
X"fc050807",
X"f8050870",
X"83e0800c",
X"54873d0d",
X"83e08c0c",
X"0483e08c",
X"080283e0",
X"8c0cfd3d",
X"0d810b83",
X"e08c08fc",
X"050c800b",
X"83e08c08",
X"f8050c83",
X"e08c08fc",
X"0508812a",
X"e08c088c",
X"050883e0",
X"8c088805",
X"0827b938",
X"83e08c08",
X"fc050c83",
X"fc050880",
X"2eae3880",
X"0b83e08c",
X"088c0508",
X"24a23883",
X"e08c088c",
X"0508812a",
X"05081083",
X"e08c088c",
X"050c83e0",
X"8c08fc05",
X"081083e0",
X"8c08fc05",
X"0cffb839",
X"83e08c08",
X"8c050cff",
X"953983e0",
X"8c089005",
X"08802e93",
X"fc050880",
X"2e80e138",
X"83e08c08",
X"8c050883",
X"e08c0888",
X"050826ad",
X"3883e08c",
X"08880508",
X"7083e08c",
X"08f4050c",
X"51913983",
X"83e08c08",
X"8c050831",
X"83e08c08",
X"88050c83",
X"e08c08f8",
X"05087083",
X"e08c08f4",
X"050c5183",
X"e08c08f4",
X"050883e0",
X"800c853d",
X"0d83e08c",
X"0c0483e0",
X"8c080283",
X"e08c0cff",
X"3d0d800b",
X"8c08fc05",
X"080783e0",
X"8c08f805",
X"0c83e08c",
X"08fc0508",
X"812a83e0",
X"8c08fc05",
X"0c83e08c",
X"088c0508",
X"812a83e0",
X"8c088c05",
X"0cff9539",
X"83e08c08",
X"fc050c83",
X"90050880",
X"2e933883",
X"e08c0888",
X"05088106",
X"ff117009",
X"05087083",
X"e08c08f4",
X"050c5191",
X"3983e08c",
X"08f80508",
X"7083e08c",
X"088c0508",
X"0683e08c",
X"08fc0508",
X"1183e08c",
X"08fc050c",
X"08f4050c",
X"5183e08c",
X"08f40508",
X"83e0800c",
X"853d0d83",
X"e08c0c04",
X"83e08c08",
X"88050881",
X"2a83e08c",
X"0888050c",
X"83e08c08",
X"8c050810",
X"83e08c08",
X"8c050c51",
X"51515183",
X"0283e08c",
X"0cff3d0d",
X"800b83e0",
X"8c08fc05",
X"0c83e08c",
X"08880508",
X"8106ff11",
X"70097083",
X"e08c088c",
X"05080683",
X"e08c08fc",
X"05081183",
X"e08c08fc",
X"050c83e0",
X"8c088805",
X"08812a83",
X"e08c0888",
X"0508802e",
X"8438ffab",
X"3983e08c",
X"08fc0508",
X"7083e080",
X"0c51833d",
X"0d83e08c",
X"0c04fc3d",
X"0d767079",
X"7b555555",
X"558f7227",
X"8c387275",
X"07830651",
X"70802ea9",
X"38ff1252",
X"71ff2e98",
X"38727081",
X"05543374",
X"70810556",
X"34ff1252",
X"71ff2e09",
X"8106ea38",
X"7483e080",
X"0c863d0d",
X"04745172",
X"70840554",
X"08717084",
X"05530c72",
X"70840554",
X"08717084",
X"05530c72",
X"70840554",
X"08717084",
X"05530c72",
X"70840554",
X"08717084",
X"05530cf0",
X"1252718f",
X"26c93883",
X"72279538",
X"72708405",
X"54087170",
X"8405530c",
X"fc125271",
X"8326ed38",
X"7054ff81",
X"39000000",
X"050c83e0",
X"8c088c05",
X"081083e0",
X"8c088c05",
X"0c515151",
X"5183e08c",
X"08880508",
X"802e8438",
X"ffab3983",
X"e08c08fc",
X"05087083",
X"e0800c51",
X"833d0d83",
X"e08c0c04",
X"fc3d0d76",
X"70797b55",
X"5555558f",
X"72278c38",
X"72750783",
X"06517080",
X"2ea938ff",
X"125271ff",
X"2e983872",
... This diff was truncated because it exceeds the maximum size that can be displayed.

Also available in: Unified diff