repo2/atari_chips/gtia/gtiamax.sdc @ 1405
730 | markw | create_clock -period 85MHz [get_ports CLK_SLOW]
|
|
714 | markw | derive_pll_clocks
|
|
derive_clock_uncertainty
|
|||
730 | markw | set_clock_groups -asynchronous \
|
|
-group { CLK_SLOW } \
|
|||
-group { \
|
|||
int_osc_clk \
|
|||
} \
|
|||
-group { \
|
|||
pll_inst|altpll_component|auto_generated|pll1|clk[0] \
|
|||
}
|
|||
714 | markw | ||
730 | markw | # IOX_RST : OUT STD_LOGIC;
|
|
# IOX_INT : IN STD_LOGIC;
|
|||
# IOX_SDA : INOUT STD_LOGIC;
|
|||
# IOX_SCL : INOUT STD_LOGIC
|
|||
#create_clock -period 56.67MHz -name cart_clk
|
|||
#set_input_delay -clock cart_clk -max 0.0 [get_ports D[*]]
|
|||
#set_input_delay -clock cart_clk -min 0.0 [get_ports D[*]]
|
|||
#
|
|||
#set_input_delay -clock cart_clk -max 0.0 [get_ports A[*]]
|
|||
#set_input_delay -clock cart_clk -min 0.0 [get_ports A[*]]
|
|||
#
|
|||
#set_input_delay -clock cart_clk -max 0.0 [get_ports W_N]
|
|||
#set_input_delay -clock cart_clk -min 0.0 [get_ports W_N]
|
|||
#
|
|||
#set_input_delay -clock cart_clk -max 0.0 [get_ports CS_COMB]
|
|||
#set_input_delay -clock cart_clk -min 0.0 [get_ports CS_COMB]
|
|||
#
|
|||
#set_input_delay -clock cart_clk -max 0.0 [get_ports PADDLE]
|
|||
#set_input_delay -clock cart_clk -min 0.0 [get_ports PADDLE]
|
|||
#
|
|||
#set_input_delay -clock cart_clk -max 0.0 [get_ports IRQ]
|
|||
#set_input_delay -clock cart_clk -min 0.0 [get_ports IRQ]
|
|||
#
|
|||
#set_input_delay -clock cart_clk -max 0.0 [get_ports SID]
|
|||
#set_input_delay -clock cart_clk -min 0.0 [get_ports SID]
|
|||
#
|
|||
#set_input_delay -clock cart_clk -max 0.0 [get_ports BCLK]
|
|||
#set_input_delay -clock cart_clk -min 0.0 [get_ports BCLK]
|
|||
#
|
|||
#set_output_delay -clock cart_clk -max 0.0 [get_ports D[*]]
|
|||
#set_output_delay -clock cart_clk -min 0.0 [get_ports D[*]]
|
|||
#
|
|||
#set_output_delay -clock cart_clk -max 0.0 [get_ports SOD]
|
|||
#set_output_delay -clock cart_clk -min 0.0 [get_ports SOD]
|
|||
#
|
|||
#set_output_delay -clock cart_clk -max 0.0 [get_ports ACLK]
|
|||
#set_output_delay -clock cart_clk -min 0.0 [get_ports ACLK]
|
|||
#
|
|||
#set_output_delay -clock cart_clk -max 0.0 [get_ports BCLK]
|
|||
#set_output_delay -clock cart_clk -min 0.0 [get_ports BCLK]
|
|||
#
|
|||
#set_output_delay -clock cart_clk -max 0.0 [get_ports AUD[*]]
|
|||
#set_output_delay -clock cart_clk -min 0.0 [get_ports AUD[*]]
|
|||
#
|
|||
#set_output_delay -clock cart_clk -max 0.0 [get_ports IRQ]
|
|||
#set_output_delay -clock cart_clk -min 0.0 [get_ports IRQ]
|
|||
#
|