repo2/atari_chips/gtia/gtiamax.qsf @ 1405
701 | markw | # -------------------------------------------------------------------------- #
|
|
#
|
|||
# Copyright (C) 2017 Intel Corporation. All rights reserved.
|
|||
# Your use of Intel Corporation's design tools, logic functions
|
|||
# and other software and tools, and its AMPP partner logic
|
|||
# functions, and any output files from any of the foregoing
|
|||
# (including device programming or simulation files), and any
|
|||
# associated documentation or information are expressly subject
|
|||
# to the terms and conditions of the Intel Program License
|
|||
# Subscription Agreement, the Intel Quartus Prime License Agreement,
|
|||
# the Intel MegaCore Function License Agreement, or other
|
|||
# applicable license agreement, including, without limitation,
|
|||
# that your use is for the sole purpose of programming logic
|
|||
# devices manufactured by Intel and sold by Intel or its
|
|||
# authorized distributors. Please refer to the applicable
|
|||
# agreement for further details.
|
|||
#
|
|||
# -------------------------------------------------------------------------- #
|
|||
#
|
|||
# Quartus Prime
|
|||
# Version 17.0.0 Build 595 04/25/2017 SJ Lite Edition
|
|||
# Date created = 19:35:48 June 01, 2018
|
|||
#
|
|||
# -------------------------------------------------------------------------- #
|
|||
#
|
|||
# Notes:
|
|||
#
|
|||
# 1) The default values for assignments are stored in the file:
|
|||
782 | markw | # gtiamax_assignment_defaults.qdf
|
|
701 | markw | # If this file doesn't exist, see file:
|
|
# assignment_defaults.qdf
|
|||
#
|
|||
# 2) Altera recommends that you do not modify this file. This
|
|||
# file is updated automatically by the Quartus Prime software
|
|||
# and any changes you make may be lost or overwritten.
|
|||
#
|
|||
# -------------------------------------------------------------------------- #
|
|||
set_global_assignment -name FAMILY "MAX 10"
|
|||
set_global_assignment -name DEVICE 10M02SCU169C8G
|
|||
782 | markw | set_global_assignment -name TOP_LEVEL_ENTITY gtiamax
|
|
701 | markw | set_global_assignment -name ORIGINAL_QUARTUS_VERSION 17.0.0
|
|
set_global_assignment -name PROJECT_CREATION_TIME_DATE "19:35:48 JUNE 01, 2018"
|
|||
1318 | markw | set_global_assignment -name LAST_QUARTUS_VERSION "20.1.1 Lite Edition"
|
|
701 | markw | set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
|
|
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
|
|||
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
|
|||
set_global_assignment -name DEVICE_FILTER_PACKAGE UFBGA
|
|||
set_global_assignment -name DEVICE_FILTER_PIN_COUNT 169
|
|||
set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 8
|
|||
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 256
|
|||
778 | markw | #set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to IOX_RST
|
|
#set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to IOX_INT
|
|||
#set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to IOX_SDA
|
|||
#set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to IOX_SCL
|
|||
#
|
|||
#set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to PADDLE[0]
|
|||
#set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to PADDLE[1]
|
|||
#set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to PADDLE[2]
|
|||
#set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to PADDLE[3]
|
|||
818 | markw | set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to S[0]
|
|
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to S[1]
|
|||
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to S[2]
|
|||
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to S[3]
|
|||
1318 | markw | ||
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to T[0]
|
|||
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to T[1]
|
|||
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to T[2]
|
|||
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to T[3]
|
|||
778 | markw | #
|
|
#set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to AUD[1]
|
|||
#set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to AUD[2]
|
|||
#set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to AUD[3]
|
|||
#set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to AUD[4]
|
|||
721 | markw | ||
1404 | markw | set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to FO0
|
|
701 | markw | set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
|
|
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
|
|||
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
|
|||
714 | markw | set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
|
|
set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
|
|||
set_global_assignment -name FLOW_ENABLE_POWER_ANALYZER ON
|
|||
set_global_assignment -name POWER_DEFAULT_INPUT_IO_TOGGLE_RATE "12.5 %"
|
|||
723 | markw | ||
set_global_assignment -name ENABLE_OCT_DONE OFF
|
|||
set_global_assignment -name ENABLE_BOOT_SEL_PIN OFF
|
|||
set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "PASSIVE SERIAL"
|
|||
set_global_assignment -name USE_CONFIGURATION_DEVICE ON
|
|||
set_global_assignment -name CRC_ERROR_OPEN_DRAIN OFF
|
|||
set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL"
|
|||
set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -rise
|
|||
set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -fall
|
|||
set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -rise
|
|||
set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -fall
|
|||
730 | markw | set_global_assignment -name ENABLE_SIGNALTAP ON
|
|
818 | markw | set_global_assignment -name USE_SIGNALTAP_FILE ../gtiastp.stp
|
|
740 | markw | set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to CLK_OUT
|
|
set_global_assignment -name OPTIMIZATION_MODE BALANCED
|
|||
815 | markw | set_location_assignment PIN_G9 -to CLK_SLOW
|
|
set_location_assignment PIN_H8 -to CLK_OUT
|
|||
824 | markw | set_location_assignment PIN_A6 -to A[1]
|
|
set_location_assignment PIN_B5 -to A[0]
|
|||
set_location_assignment PIN_A5 -to NC[1]
|
|||
set_location_assignment PIN_B4 -to D[3]
|
|||
set_location_assignment PIN_A4 -to D[2]
|
|||
set_location_assignment PIN_B3 -to D[1]
|
|||
set_location_assignment PIN_A3 -to D[0]
|
|||
set_location_assignment PIN_C1 -to T[0]
|
|||
set_location_assignment PIN_D1 -to NC[2]
|
|||
set_location_assignment PIN_A2 -to T[1]
|
|||
set_location_assignment PIN_B2 -to NC[3]
|
|||
set_location_assignment PIN_B1 -to T[2]
|
|||
set_location_assignment PIN_M1 -to T[3]
|
|||
set_location_assignment PIN_M2 -to S[0]
|
|||
set_location_assignment PIN_N2 -to S[1]
|
|||
set_location_assignment PIN_L3 -to S[2]
|
|||
set_location_assignment PIN_M3 -to S[3]
|
|||
set_location_assignment PIN_N3 -to NC[4]
|
|||
set_location_assignment PIN_K5 -to NC[5]
|
|||
set_location_assignment PIN_M4 -to PAL
|
|||
set_location_assignment PIN_N4 -to CAD3
|
|||
set_location_assignment PIN_M5 -to AN[0]
|
|||
set_location_assignment PIN_N5 -to AN[1]
|
|||
set_location_assignment PIN_N6 -to AN[2]
|
|||
set_location_assignment PIN_M7 -to COLOR
|
|||
set_location_assignment PIN_N7 -to LUM[1]
|
|||
set_location_assignment PIN_M8 -to LUM[2]
|
|||
set_location_assignment PIN_N8 -to LUM[3]
|
|||
set_location_assignment PIN_M9 -to CSYNC
|
|||
set_location_assignment PIN_N9 -to HALT_N
|
|||
set_location_assignment PIN_M10 -to NC[6]
|
|||
set_location_assignment PIN_N10 -to OSC
|
|||
set_location_assignment PIN_M11 -to FO0
|
|||
set_location_assignment PIN_N11 -to PHI2
|
|||
set_location_assignment PIN_A12 -to LUM[0]
|
|||
set_location_assignment PIN_B11 -to CS_N
|
|||
set_location_assignment PIN_A11 -to W_N
|
|||
set_location_assignment PIN_B10 -to D[7]
|
|||
set_location_assignment PIN_A10 -to D[6]
|
|||
set_location_assignment PIN_A9 -to D[5]
|
|||
set_location_assignment PIN_A8 -to D[4]
|
|||
set_location_assignment PIN_B7 -to A[4]
|
|||
set_location_assignment PIN_A7 -to A[3]
|
|||
set_location_assignment PIN_B6 -to A[2]
|
|||
set_location_assignment PIN_L13 -to GPIO[0]
|
|||
set_location_assignment PIN_M13 -to GPIO[1]
|
|||
set_location_assignment PIN_N12 -to GPIO[2]
|
|||
set_location_assignment PIN_K13 -to GPIO[3]
|
|||
set_location_assignment PIN_K12 -to GPIO[4]
|
|||
set_location_assignment PIN_L12 -to GPIO[5]
|
|||
set_location_assignment PIN_C13 -to GPIO[6]
|
|||
set_location_assignment PIN_D12 -to GPIO[7]
|
|||
set_location_assignment PIN_D13 -to GPIO[8]
|
|||
set_location_assignment PIN_B12 -to GPIO[9]
|
|||
set_location_assignment PIN_B13 -to GPIO[10]
|
|||
set_location_assignment PIN_C12 -to GPIO[11]
|
|||
815 | markw | ||
818 | markw | ||
set_global_assignment -name SLD_NODE_CREATOR_ID 110 -section_id auto_signaltap_0
|
|||
set_global_assignment -name SLD_NODE_ENTITY_NAME sld_signaltap -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_clk -to "gtia:gtia1|CLK" -section_id auto_signaltap_0
|
|||
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_RAM_BLOCK_TYPE=AUTO" -section_id auto_signaltap_0
|
|||
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_INFO=805334528" -section_id auto_signaltap_0
|
|||
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_POWER_UP_TRIGGER=0" -section_id auto_signaltap_0
|
|||
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STORAGE_QUALIFIER_INVERSION_MASK_LENGTH=0" -section_id auto_signaltap_0
|
|||
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ATTRIBUTE_MEM_MODE=OFF" -section_id auto_signaltap_0
|
|||
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STATE_FLOW_USE_GENERATED=0" -section_id auto_signaltap_0
|
|||
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STATE_BITS=11" -section_id auto_signaltap_0
|
|||
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_BUFFER_FULL_STOP=1" -section_id auto_signaltap_0
|
|||
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_CURRENT_RESOURCE_WIDTH=1" -section_id auto_signaltap_0
|
|||
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INCREMENTAL_ROUTING=1" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[1] -to auto_signaltap_0|gnd -section_id auto_signaltap_0
|
|||
set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[5] -to auto_signaltap_0|vcc -section_id auto_signaltap_0
|
|||
set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[7] -to auto_signaltap_0|gnd -section_id auto_signaltap_0
|
|||
set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[10] -to auto_signaltap_0|gnd -section_id auto_signaltap_0
|
|||
set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[13] -to auto_signaltap_0|gnd -section_id auto_signaltap_0
|
|||
set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[20] -to auto_signaltap_0|vcc -section_id auto_signaltap_0
|
|||
set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[23] -to auto_signaltap_0|gnd -section_id auto_signaltap_0
|
|||
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_LEVEL=1" -section_id auto_signaltap_0
|
|||
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_IN_ENABLED=0" -section_id auto_signaltap_0
|
|||
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_PIPELINE=0" -section_id auto_signaltap_0
|
|||
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_RAM_PIPELINE=0" -section_id auto_signaltap_0
|
|||
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_COUNTER_PIPELINE=0" -section_id auto_signaltap_0
|
|||
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ADVANCED_TRIGGER_ENTITY=basic,1," -section_id auto_signaltap_0
|
|||
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_LEVEL_PIPELINE=1" -section_id auto_signaltap_0
|
|||
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ENABLE_ADVANCED_TRIGGER=0" -section_id auto_signaltap_0
|
|||
820 | markw | set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[3] -to auto_signaltap_0|gnd -section_id auto_signaltap_0
|
|
set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[16] -to auto_signaltap_0|gnd -section_id auto_signaltap_0
|
|||
set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[18] -to auto_signaltap_0|gnd -section_id auto_signaltap_0
|
|||
set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[22] -to auto_signaltap_0|vcc -section_id auto_signaltap_0
|
|||
set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[24] -to auto_signaltap_0|vcc -section_id auto_signaltap_0
|
|||
set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[27] -to auto_signaltap_0|vcc -section_id auto_signaltap_0
|
|||
set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[29] -to auto_signaltap_0|gnd -section_id auto_signaltap_0
|
|||
823 | markw | set_global_assignment -name VHDL_FILE wide_delay_line.vhdl
|
|
set_global_assignment -name VHDL_FILE hue.vhd
|
|||
set_global_assignment -name VHDL_FILE simple_counter.vhdl
|
|||
set_global_assignment -name VHDL_FILE gtia_priority.vhdl
|
|||
set_global_assignment -name VHDL_FILE gtia_player.vhdl
|
|||
set_global_assignment -name VHDL_FILE gtia.vhdl
|
|||
set_global_assignment -name VHDL_FILE correct_duty.vhdl
|
|||
set_global_assignment -name VHDL_FILE slave_timing_6502.vhd
|
|||
set_global_assignment -name SDC_FILE gtiamax.sdc
|
|||
set_global_assignment -name VHDL_FILE complete_address_decoder.vhdl
|
|||
set_global_assignment -name VHDL_FILE delay_line.vhdl
|
|||
set_global_assignment -name VHDL_FILE latch_delay_line.vhdl
|
|||
set_global_assignment -name VHDL_FILE mult_infer.vhdl
|
|||
set_global_assignment -name VHDL_FILE phi_mult.vhdl
|
|||
set_global_assignment -name VHDL_FILE synchronizer.vhdl
|
|||
set_global_assignment -name VHDL_FILE gtiamax.vhd
|
|||
set_global_assignment -name QIP_FILE int_osc/synthesis/int_osc.qip
|
|||
set_global_assignment -name QIP_FILE pll.qip
|
|||
824 | markw | set_global_assignment -name SIGNALTAP_FILE ../gtiastp.stp
|
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[0] -to GTIA_WRITE_ENABLE -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[1] -to "gtia:gtia1|ADDR[0]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[2] -to "gtia:gtia1|ADDR[1]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[3] -to "gtia:gtia1|ADDR[2]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[4] -to "gtia:gtia1|ADDR[3]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[5] -to "gtia:gtia1|ADDR[4]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[6] -to "gtia:gtia1|CPU_DATA_IN[0]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[7] -to "gtia:gtia1|CPU_DATA_IN[1]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[8] -to "gtia:gtia1|CPU_DATA_IN[2]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[9] -to "gtia:gtia1|CPU_DATA_IN[3]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[10] -to "gtia:gtia1|CPU_DATA_IN[4]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[11] -to "gtia:gtia1|CPU_DATA_IN[5]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[12] -to "gtia:gtia1|CPU_DATA_IN[6]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[13] -to "gtia:gtia1|CPU_DATA_IN[7]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[14] -to "gtia:gtia1|CPU_ENABLE_ORIGINAL" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[15] -to "gtia:gtia1|DATA_OUT[0]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[16] -to "gtia:gtia1|DATA_OUT[1]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[17] -to "gtia:gtia1|DATA_OUT[2]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[18] -to "gtia:gtia1|DATA_OUT[3]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[19] -to "gtia:gtia1|DATA_OUT[4]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[20] -to "gtia:gtia1|DATA_OUT[5]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[21] -to "gtia:gtia1|DATA_OUT[6]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[22] -to "gtia:gtia1|DATA_OUT[7]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[23] -to "gtia:gtia1|ENABLE_179" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[24] -to "gtia:gtia1|hposp0_raw_reg[0]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[25] -to "gtia:gtia1|hposp0_raw_reg[1]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[26] -to "gtia:gtia1|hposp0_raw_reg[2]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[27] -to "gtia:gtia1|hposp0_raw_reg[3]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[28] -to "gtia:gtia1|hposp0_raw_reg[4]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[29] -to "gtia:gtia1|hposp0_raw_reg[5]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[30] -to "gtia:gtia1|hposp0_raw_reg[6]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[31] -to "gtia:gtia1|hposp0_raw_reg[7]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[32] -to "gtia:gtia1|hposp0_snap_reg[0]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[33] -to "gtia:gtia1|hposp0_snap_reg[1]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[34] -to "gtia:gtia1|hposp0_snap_reg[2]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[35] -to "gtia:gtia1|hposp0_snap_reg[3]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[36] -to "gtia:gtia1|hposp0_snap_reg[4]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[37] -to "gtia:gtia1|hposp0_snap_reg[5]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[38] -to "gtia:gtia1|hposp0_snap_reg[6]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[39] -to "gtia:gtia1|hposp0_snap_reg[7]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[40] -to "gtia:gtia1|simple_counter:counter_hpos|value_reg[0]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[41] -to "gtia:gtia1|simple_counter:counter_hpos|value_reg[1]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[42] -to "gtia:gtia1|simple_counter:counter_hpos|value_reg[2]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[43] -to "gtia:gtia1|simple_counter:counter_hpos|value_reg[3]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[44] -to "gtia:gtia1|simple_counter:counter_hpos|value_reg[4]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[45] -to "gtia:gtia1|simple_counter:counter_hpos|value_reg[5]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[46] -to "gtia:gtia1|simple_counter:counter_hpos|value_reg[6]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[47] -to "gtia:gtia1|simple_counter:counter_hpos|value_reg[7]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[48] -to "slave_timing_6502:bus_adapt|BUS_REQUEST" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[0] -to GTIA_WRITE_ENABLE -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[1] -to "gtia:gtia1|ADDR[0]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[2] -to "gtia:gtia1|ADDR[1]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[3] -to "gtia:gtia1|ADDR[2]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[4] -to "gtia:gtia1|ADDR[3]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[5] -to "gtia:gtia1|ADDR[4]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[6] -to "gtia:gtia1|CPU_DATA_IN[0]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[7] -to "gtia:gtia1|CPU_DATA_IN[1]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[8] -to "gtia:gtia1|CPU_DATA_IN[2]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[9] -to "gtia:gtia1|CPU_DATA_IN[3]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[10] -to "gtia:gtia1|CPU_DATA_IN[4]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[11] -to "gtia:gtia1|CPU_DATA_IN[5]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[12] -to "gtia:gtia1|CPU_DATA_IN[6]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[13] -to "gtia:gtia1|CPU_DATA_IN[7]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[14] -to "gtia:gtia1|CPU_ENABLE_ORIGINAL" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[15] -to "gtia:gtia1|DATA_OUT[0]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[16] -to "gtia:gtia1|DATA_OUT[1]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[17] -to "gtia:gtia1|DATA_OUT[2]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[18] -to "gtia:gtia1|DATA_OUT[3]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[19] -to "gtia:gtia1|DATA_OUT[4]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[20] -to "gtia:gtia1|DATA_OUT[5]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[21] -to "gtia:gtia1|DATA_OUT[6]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[22] -to "gtia:gtia1|DATA_OUT[7]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[23] -to "gtia:gtia1|ENABLE_179" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[24] -to "gtia:gtia1|hposp0_raw_reg[0]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[25] -to "gtia:gtia1|hposp0_raw_reg[1]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[26] -to "gtia:gtia1|hposp0_raw_reg[2]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[27] -to "gtia:gtia1|hposp0_raw_reg[3]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[28] -to "gtia:gtia1|hposp0_raw_reg[4]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[29] -to "gtia:gtia1|hposp0_raw_reg[5]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[30] -to "gtia:gtia1|hposp0_raw_reg[6]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[31] -to "gtia:gtia1|hposp0_raw_reg[7]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[32] -to "gtia:gtia1|hposp0_snap_reg[0]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[33] -to "gtia:gtia1|hposp0_snap_reg[1]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[34] -to "gtia:gtia1|hposp0_snap_reg[2]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[35] -to "gtia:gtia1|hposp0_snap_reg[3]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[36] -to "gtia:gtia1|hposp0_snap_reg[4]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[37] -to "gtia:gtia1|hposp0_snap_reg[5]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[38] -to "gtia:gtia1|hposp0_snap_reg[6]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[39] -to "gtia:gtia1|hposp0_snap_reg[7]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[40] -to "gtia:gtia1|simple_counter:counter_hpos|value_reg[0]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[41] -to "gtia:gtia1|simple_counter:counter_hpos|value_reg[1]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[42] -to "gtia:gtia1|simple_counter:counter_hpos|value_reg[2]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[43] -to "gtia:gtia1|simple_counter:counter_hpos|value_reg[3]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[44] -to "gtia:gtia1|simple_counter:counter_hpos|value_reg[4]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[45] -to "gtia:gtia1|simple_counter:counter_hpos|value_reg[5]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[46] -to "gtia:gtia1|simple_counter:counter_hpos|value_reg[6]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[47] -to "gtia:gtia1|simple_counter:counter_hpos|value_reg[7]" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[48] -to "slave_timing_6502:bus_adapt|BUS_REQUEST" -section_id auto_signaltap_0
|
|||
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_DATA_BITS=49" -section_id auto_signaltap_0
|
|||
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_BITS=49" -section_id auto_signaltap_0
|
|||
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STORAGE_QUALIFIER_BITS=49" -section_id auto_signaltap_0
|
|||
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK=00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" -section_id auto_signaltap_0
|
|||
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK_LENGTH=170" -section_id auto_signaltap_0
|
|||
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_SEGMENT_SIZE=512" -section_id auto_signaltap_0
|
|||
set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[0] -to auto_signaltap_0|vcc -section_id auto_signaltap_0
|
|||
set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[2] -to auto_signaltap_0|vcc -section_id auto_signaltap_0
|
|||
set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[4] -to auto_signaltap_0|gnd -section_id auto_signaltap_0
|
|||
set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[6] -to auto_signaltap_0|gnd -section_id auto_signaltap_0
|
|||
set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[8] -to auto_signaltap_0|vcc -section_id auto_signaltap_0
|
|||
set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[9] -to auto_signaltap_0|gnd -section_id auto_signaltap_0
|
|||
set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[11] -to auto_signaltap_0|vcc -section_id auto_signaltap_0
|
|||
set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[12] -to auto_signaltap_0|vcc -section_id auto_signaltap_0
|
|||
set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[14] -to auto_signaltap_0|gnd -section_id auto_signaltap_0
|
|||
set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[15] -to auto_signaltap_0|vcc -section_id auto_signaltap_0
|
|||
set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[17] -to auto_signaltap_0|vcc -section_id auto_signaltap_0
|
|||
set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[19] -to auto_signaltap_0|gnd -section_id auto_signaltap_0
|
|||
set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[21] -to auto_signaltap_0|gnd -section_id auto_signaltap_0
|
|||
set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[25] -to auto_signaltap_0|gnd -section_id auto_signaltap_0
|
|||
set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[26] -to auto_signaltap_0|vcc -section_id auto_signaltap_0
|
|||
set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[28] -to auto_signaltap_0|gnd -section_id auto_signaltap_0
|
|||
set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[30] -to auto_signaltap_0|vcc -section_id auto_signaltap_0
|
|||
set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[31] -to auto_signaltap_0|gnd -section_id auto_signaltap_0
|
|||
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_SAMPLE_DEPTH=512" -section_id auto_signaltap_0
|
|||
1318 | markw | set_global_assignment -name QIP_FILE osc_in.qip
|
|
set_global_assignment -name SIP_FILE osc_in.sip
|
|||
set_global_assignment -name QIP_FILE osc_out.qip
|
|||
set_global_assignment -name SIP_FILE osc_out.sip
|
|||
950 | markw | set_global_assignment -name SLD_FILE db/gtiastp_auto_stripped.stp
|
|
1404 | markw | set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
|