Revision 134
Added by markw about 11 years ago
chameleon/atari800core_chameleon.vhd | ||
---|---|---|
-- signal mux_d_reg : unsigned(3 downto 0) := (others => '1');
|
||
|
||
-- reset from chameleon
|
||
signal chameleon_reset_n : std_logic;
|
||
signal chameleon_reset_n_next : std_logic;
|
||
signal chameleon_reset_n_reg : std_logic;
|
||
|
||
-- LEDs
|
||
-- signal led_green : std_logic;
|
||
-- signal led_red : std_logic;
|
||
|
||
-- clocks...
|
||
signal sysclk : std_logic;
|
||
signal ena_1mhz : std_logic;
|
||
signal ena_1khz : std_logic;
|
||
--signal phi2 : std_logic;
|
||
... | ... | |
|
||
-- Docking station
|
||
signal docking_station : std_logic;
|
||
signal docking_ena : std_logic;
|
||
signal docking_irq : std_logic;
|
||
signal irq_n : std_logic;
|
||
--signal docking_ena : std_logic;
|
||
--signal docking_irq : std_logic;
|
||
--signal irq_n : std_logic;
|
||
|
||
signal docking_joystick1 : unsigned(5 downto 0);
|
||
signal docking_joystick2 : unsigned(5 downto 0);
|
||
... | ... | |
signal ir_start : std_logic;
|
||
signal ir_select : std_logic;
|
||
signal ir_option : std_logic;
|
||
signal ir_fkeys : std_logic_vector(11 downto 0);
|
||
signal ir_fkeys_next : std_logic_vector(11 downto 0);
|
||
signal ir_fkeys_reg : std_logic_vector(11 downto 0);
|
||
|
||
-- PS/2 Keyboard
|
||
signal ps2_keyboard_clk_in : std_logic;
|
||
... | ... | |
);
|
||
|
||
-- Some common chameleon parts - e.g. mux - taken from the hardware test
|
||
sysclk <= clk;
|
||
|
||
-- -----------------------------------------------------------------------
|
||
-- 1 Mhz and 1 Khz clocks
|
||
-- -----------------------------------------------------------------------
|
||
my1Mhz : entity work.chameleon_1mhz
|
||
generic map (
|
||
--clk_ticks_per_usec => 100
|
||
clk_ticks_per_usec => 57
|
||
clk_ticks_per_usec => 113
|
||
)
|
||
port map (
|
||
clk => sysclk,
|
||
clk => clk_sdram,
|
||
ena_1mhz => ena_1mhz,
|
||
ena_1mhz_2 => open
|
||
);
|
||
|
||
my1Khz : entity work.chameleon_1khz
|
||
port map (
|
||
clk => sysclk,
|
||
clk => clk_sdram,
|
||
ena_1mhz => ena_1mhz,
|
||
ena_1khz => ena_1khz
|
||
);
|
||
... | ... | |
generic map (
|
||
enable_docking_station => true,
|
||
enable_c64_joykeyb => true,
|
||
enable_c64_4player => false,
|
||
enable_c64_4player => true,
|
||
enable_raw_spi => true,
|
||
enable_iec_access => false
|
||
)
|
||
port map (
|
||
clk => clk,
|
||
clk_mux => clk,
|
||
clk => clk_sdram,
|
||
clk_mux => clk_sdram,
|
||
ena_1mhz => ena_1mhz,
|
||
reset => reset_n, -- active low!
|
||
reset_ext => open,
|
||
... | ... | |
-- ps2_mouse_dat_in: out std_logic;
|
||
|
||
-- Buttons
|
||
button_reset_n => chameleon_reset_n,
|
||
button_reset_n => chameleon_reset_n_next,
|
||
|
||
-- Joysticks
|
||
joystick1 => docking_joystick1,
|
||
... | ... | |
|
||
myIr : entity work.chameleon_cdtv_remote
|
||
port map (
|
||
clk => clk,
|
||
clk => clk_sdram,
|
||
ena_1mhz => ena_1mhz,
|
||
ir => ir,
|
||
|
||
-- trigger : out std_logic;
|
||
--
|
||
key_1 => ir_fkeys(0),
|
||
key_2 => ir_fkeys(1),
|
||
key_3 => ir_fkeys(2),
|
||
key_4 => ir_fkeys(3),
|
||
-- key_5 => ir_fkeys(4),
|
||
-- key_6 => ir_fkeys(5),
|
||
-- key_7 => ir_fkeys(6),
|
||
-- key_8 => ir_fkeys(7),
|
||
-- key_9 => ir_fkeys(8),
|
||
-- key_0 => ir_fkeys(9),
|
||
key_1 => ir_fkeys_next(0),
|
||
key_2 => ir_fkeys_next(1),
|
||
key_3 => ir_fkeys_next(2),
|
||
key_4 => ir_fkeys_next(3),
|
||
-- key_5 => ir_fkeys_next(4),
|
||
-- key_6 => ir_fkeys_next(5),
|
||
-- key_7 => ir_fkeys_next(6),
|
||
-- key_8 => ir_fkeys_next(7),
|
||
-- key_9 => ir_fkeys_next(8),
|
||
-- key_0 => ir_fkeys_next(9),
|
||
-- key_escape : out std_logic;
|
||
-- key_enter : out std_logic;
|
||
key_genlock => ir_fkeys(10),
|
||
key_cdtv => ir_fkeys(11),
|
||
key_power => ir_fkeys(9),
|
||
key_genlock => ir_fkeys_next(10),
|
||
key_cdtv => ir_fkeys_next(11),
|
||
key_power => ir_fkeys_next(9),
|
||
key_rew => ir_start,
|
||
key_play => ir_select,
|
||
key_ff => ir_option,
|
||
key_stop => ir_fkeys(8),
|
||
key_stop => ir_fkeys_next(8),
|
||
-- key_vol_up : out std_logic;
|
||
-- key_vol_dn : out std_logic;
|
||
joystick_a => ir_joya,
|
||
... | ... | |
GENERIC MAP
|
||
(
|
||
platform => 1, -- TODO
|
||
spi_clock_div => 64 -- 57MHz/32. Max for SD cards is 25MHz... TODO Same for DE1, too high??
|
||
spi_clock_div => 16 -- 57MHz/32. Max for SD cards is 25MHz... TODO Same for DE1, too high??
|
||
)
|
||
PORT MAP
|
||
(
|
||
... | ... | |
|
||
-- external control
|
||
-- switches etc. sector DMA blah blah.
|
||
ZPU_IN1 => X"00000"&(FKEYS or ir_fkeys),
|
||
ZPU_IN1 => X"00000"&(FKEYS or ir_fkeys_reg),
|
||
ZPU_IN2 => X"00000000",
|
||
ZPU_IN3 => X"00000000",
|
||
ZPU_IN4 => X"00000000",
|
||
... | ... | |
);
|
||
|
||
pause_atari <= zpu_out1(0);
|
||
reset_atari <= zpu_out1(1) or not(chameleon_reset_n);
|
||
reset_atari <= zpu_out1(1) or not(chameleon_reset_n_reg);
|
||
speed_6502 <= zpu_out1(7 downto 2);
|
||
ram_select <= zpu_out1(10 downto 8);
|
||
rom_select <= zpu_out1(16 downto 11);
|
||
... | ... | |
if (reset_n='0') then
|
||
scanlines_reg <= '0';
|
||
freeze_n_reg <= '1';
|
||
chameleon_reset_n_reg <= '1';
|
||
ir_fkeys_reg <= (others=>'0');
|
||
elsif (clk'event and clk = '1') then
|
||
scanlines_reg <= scanlines_next;
|
||
freeze_n_reg <= freeze_n_sync;
|
||
chameleon_reset_n_reg <= chameleon_reset_n_next;
|
||
ir_fkeys_reg <= ir_fkeys_next;
|
||
end if;
|
||
end process;
|
||
|
chameleon/zpu_rom.vhdl | ||
---|---|---|
X"00000000",
|
||
X"71fc0608",
|
||
X"0b0b80f9",
|
||
X"88738306",
|
||
X"a8738306",
|
||
X"10100508",
|
||
X"060b0b0b",
|
||
X"88a20400",
|
||
... | ... | |
X"00000000",
|
||
X"00000000",
|
||
X"00000000",
|
||
X"810b80fb",
|
||
X"e00c5104",
|
||
X"810b80fc",
|
||
X"800c5104",
|
||
X"00000000",
|
||
X"00000000",
|
||
X"00000000",
|
||
... | ... | |
X"83e08408",
|
||
X"83e08808",
|
||
X"757580f3",
|
||
X"b52d5050",
|
||
X"d82d5050",
|
||
X"83e08008",
|
||
X"5683e088",
|
||
X"0c83e084",
|
||
... | ... | |
X"e0800883",
|
||
X"e0840883",
|
||
X"e0880875",
|
||
X"7580f1c9",
|
||
X"7580f1ec",
|
||
X"2d505083",
|
||
X"e0800856",
|
||
X"83e0880c",
|
||
... | ... | |
X"0489aa0b",
|
||
X"96ea0480",
|
||
X"3d0d80fd",
|
||
X"a4087008",
|
||
X"c4087008",
|
||
X"810683e0",
|
||
X"800c5182",
|
||
X"3d0d04ff",
|
||
X"3d0d80fd",
|
||
X"a4087008",
|
||
X"c4087008",
|
||
X"70fe0676",
|
||
X"07720c52",
|
||
X"52833d0d",
|
||
X"04803d0d",
|
||
X"80fda408",
|
||
X"80fdc408",
|
||
X"70087081",
|
||
X"2c810683",
|
||
X"e0800c51",
|
||
X"51823d0d",
|
||
X"04ff3d0d",
|
||
X"80fda408",
|
||
X"80fdc408",
|
||
X"700870fd",
|
||
X"06761007",
|
||
X"720c5252",
|
||
X"833d0d04",
|
||
X"803d0d80",
|
||
X"fda40870",
|
||
X"fdc40870",
|
||
X"0870822c",
|
||
X"bf0683e0",
|
||
X"800c5151",
|
||
X"823d0d04",
|
||
X"ff3d0d80",
|
||
X"fda40870",
|
||
X"fdc40870",
|
||
X"0870fe83",
|
||
X"0676822b",
|
||
X"07720c52",
|
||
X"52833d0d",
|
||
X"04803d0d",
|
||
X"80fda408",
|
||
X"80fdc408",
|
||
X"70087088",
|
||
X"2c870683",
|
||
X"e0800c51",
|
||
X"51823d0d",
|
||
X"04ff3d0d",
|
||
X"80fda408",
|
||
X"80fdc408",
|
||
X"700870f1",
|
||
X"ff067688",
|
||
X"2b07720c",
|
||
X"5252833d",
|
||
X"0d04803d",
|
||
X"0d80fda4",
|
||
X"0d80fdc4",
|
||
X"08700870",
|
||
X"8b2cbf06",
|
||
X"83e0800c",
|
||
X"5151823d",
|
||
X"0d04ff3d",
|
||
X"0d80fda4",
|
||
X"0d80fdc4",
|
||
X"08700870",
|
||
X"f88fff06",
|
||
X"768b2b07",
|
||
X"720c5252",
|
||
X"833d0d04",
|
||
X"803d0d80",
|
||
X"fdb40870",
|
||
X"fdd40870",
|
||
X"0870882c",
|
||
X"810683e0",
|
||
X"800c5151",
|
||
X"823d0d04",
|
||
X"803d0d80",
|
||
X"fdb40870",
|
||
X"fdd40870",
|
||
X"0870892c",
|
||
X"810683e0",
|
||
X"800c5151",
|
||
X"823d0d04",
|
||
X"803d0d80",
|
||
X"fdb40870",
|
||
X"fdd40870",
|
||
X"08708a2c",
|
||
X"810683e0",
|
||
X"800c5151",
|
||
X"823d0d04",
|
||
X"803d0d80",
|
||
X"fdb40870",
|
||
X"fdd40870",
|
||
X"08708b2c",
|
||
X"810683e0",
|
||
X"800c5151",
|
||
X"823d0d04",
|
||
X"fd3d0d75",
|
||
X"81e62987",
|
||
X"2a80fd94",
|
||
X"2a80fdb4",
|
||
X"0854730c",
|
||
X"853d0d04",
|
||
X"fe3d0d75",
|
||
... | ... | |
X"803d0dfa",
|
||
X"cc3f83e0",
|
||
X"80088429",
|
||
X"80fbe805",
|
||
X"80fc8805",
|
||
X"700883e0",
|
||
X"800c5182",
|
||
X"3d0d04ee",
|
||
... | ... | |
X"0b83e09c",
|
||
X"0c800b83",
|
||
X"e0bc0c0b",
|
||
X"0b80faa4",
|
||
X"0b80fac4",
|
||
X"51b0c13f",
|
||
X"81800b83",
|
||
X"e0bc0c0b",
|
||
X"0b80faa8",
|
||
X"0b80fac8",
|
||
X"51b0b13f",
|
||
X"80d00b83",
|
||
X"e09c0c78",
|
||
... | ... | |
X"0c5155f9",
|
||
X"b73f83e0",
|
||
X"8008520b",
|
||
X"0b80fab0",
|
||
X"0b80fad0",
|
||
X"51b0893f",
|
||
X"80f80b83",
|
||
X"e09c0c78",
|
||
... | ... | |
X"515656fe",
|
||
X"eb3f83e0",
|
||
X"8008520b",
|
||
X"0b80fabc",
|
||
X"0b80fadc",
|
||
X"51afdd3f",
|
||
X"81a00b83",
|
||
X"e09c0c78",
|
||
... | ... | |
X"b0f83f83",
|
||
X"e0800852",
|
||
X"0b0b80fa",
|
||
X"c451afac",
|
||
X"e451afac",
|
||
X"3f81f00b",
|
||
X"83e09c0c",
|
||
X"810b83e0",
|
||
... | ... | |
X"ad3f7454",
|
||
X"83e08008",
|
||
X"5377520b",
|
||
X"0b80facc",
|
||
X"0b80faec",
|
||
X"51aedd3f",
|
||
X"a81783e0",
|
||
X"9c0c8118",
|
||
... | ... | |
X"872b83e0",
|
||
X"bc0c5156",
|
||
X"0b0b80fa",
|
||
X"dc5256ae",
|
||
X"fc5256ae",
|
||
X"a73f83e0",
|
||
X"0b83e09c",
|
||
X"0c788832",
|
||
... | ... | |
X"07802570",
|
||
X"872b83e0",
|
||
X"bc0c5156",
|
||
X"0b0b80fa",
|
||
X"f05256ae",
|
||
X"0b0b80fb",
|
||
X"905256ae",
|
||
X"833f868d",
|
||
X"a051f990",
|
||
X"3f805291",
|
||
... | ... | |
X"59873978",
|
||
X"882682ae",
|
||
X"3878822b",
|
||
X"5580f998",
|
||
X"5580f9b8",
|
||
X"150804f6",
|
||
X"e33f83e0",
|
||
X"80086157",
|
||
... | ... | |
X"51ae9d3f",
|
||
X"83e08008",
|
||
X"80d33894",
|
||
X"993f80fe",
|
||
X"ec51b2dc",
|
||
X"993f80ff",
|
||
X"8c51b2dc",
|
||
X"3f83e080",
|
||
X"0883e0b4",
|
||
X"08540b0b",
|
||
X"80faf853",
|
||
X"80fb9853",
|
||
X"83e08008",
|
||
X"5283e08c",
|
||
X"08f4050c",
|
||
... | ... | |
X"9c800a54",
|
||
X"80c08053",
|
||
X"0b0b80fb",
|
||
X"845283e0",
|
||
X"a45283e0",
|
||
X"8c08f405",
|
||
X"0851f681",
|
||
X"3f8151f3",
|
||
... | ... | |
X"8480800b",
|
||
X"83e0c80c",
|
||
X"04f03d0d",
|
||
X"80fc9c08",
|
||
X"80fcbc08",
|
||
X"54733383",
|
||
X"e0cc3483",
|
||
X"a0805683",
|
||
... | ... | |
X"81165675",
|
||
X"83a8902e",
|
||
X"098106db",
|
||
X"3880fc9c",
|
||
X"3880fcbc",
|
||
X"0854ff74",
|
||
X"34805683",
|
||
X"e0c40816",
|
||
... | ... | |
X"098106e4",
|
||
X"38f2ed3f",
|
||
X"893d58a2",
|
||
X"5380f9bc",
|
||
X"5380f9dc",
|
||
X"52775180",
|
||
X"dce03f80",
|
||
X"dd833f80",
|
||
X"578c8056",
|
||
X"83e0c808",
|
||
X"16771955",
|
||
... | ... | |
X"18585676",
|
||
X"a22e0981",
|
||
X"06e63880",
|
||
X"fcc00854",
|
||
X"fce00854",
|
||
X"86743480",
|
||
X"fcc40854",
|
||
X"fce40854",
|
||
X"80743480",
|
||
X"fcbc0854",
|
||
X"fcdc0854",
|
||
X"80743480",
|
||
X"fcac0854",
|
||
X"fccc0854",
|
||
X"af743480",
|
||
X"fcb80854",
|
||
X"fcd80854",
|
||
X"bf743480",
|
||
X"fcb40854",
|
||
X"fcd40854",
|
||
X"80743480",
|
||
X"fcb00854",
|
||
X"fcd00854",
|
||
X"9f743480",
|
||
X"fca80854",
|
||
X"fcc80854",
|
||
X"80743480",
|
||
X"fc940854",
|
||
X"fcb40854",
|
||
X"e0743480",
|
||
X"fc8c0854",
|
||
X"fcac0854",
|
||
X"76743480",
|
||
X"fc880854",
|
||
X"fca80854",
|
||
X"83743480",
|
||
X"fc900854",
|
||
X"fcb00854",
|
||
X"82743492",
|
||
X"3d0d04fe",
|
||
X"3d0d8053",
|
||
... | ... | |
X"81135372",
|
||
X"83a8902e",
|
||
X"098106e4",
|
||
X"3880fc9c",
|
||
X"3880fcbc",
|
||
X"085183e0",
|
||
X"cc337134",
|
||
X"843d0d04",
|
||
... | ... | |
X"800b8415",
|
||
X"0c800b88",
|
||
X"150c80fc",
|
||
X"a0087033",
|
||
X"c0087033",
|
||
X"7081ff06",
|
||
X"70812a81",
|
||
X"32718132",
|
||
... | ... | |
X"06718106",
|
||
X"31790c52",
|
||
X"55515151",
|
||
X"80fc9808",
|
||
X"80fcb808",
|
||
X"70337009",
|
||
X"81068817",
|
||
X"0c515185",
|
||
... | ... | |
X"80c13874",
|
||
X"51abc13f",
|
||
X"83e08008",
|
||
X"80fba453",
|
||
X"80fbc453",
|
||
X"83e08008",
|
||
X"5253fec8",
|
||
X"3f83e080",
|
||
X"08a13880",
|
||
X"fba85272",
|
||
X"fbc85272",
|
||
X"51feb93f",
|
||
X"83e08008",
|
||
X"923880fb",
|
||
X"ac527251",
|
||
X"cc527251",
|
||
X"feaa3f83",
|
||
X"e0800880",
|
||
X"2e833881",
|
||
... | ... | |
X"83e08008",
|
||
X"97387351",
|
||
X"aae63f80",
|
||
X"fbb05283",
|
||
X"fbd05283",
|
||
X"e0800851",
|
||
X"fdf23f83",
|
||
X"e0800853",
|
||
... | ... | |
X"3f83e080",
|
||
X"0833943d",
|
||
X"56547394",
|
||
X"3880fefc",
|
||
X"3880ff9c",
|
||
X"52745184",
|
||
X"d0397d52",
|
||
X"7851a490",
|
||
... | ... | |
X"0b83e09c",
|
||
X"0c800b83",
|
||
X"e0bc0c80",
|
||
X"fbb4519d",
|
||
X"fbd4519d",
|
||
X"eb3f8180",
|
||
X"0b83e0bc",
|
||
X"0c80fbbc",
|
||
X"0c80fbdc",
|
||
X"519ddd3f",
|
||
X"a80b83e0",
|
||
X"9c0c7680",
|
||
... | ... | |
X"cd3f83e0",
|
||
X"8008802e",
|
||
X"883880fb",
|
||
X"c4519da4",
|
||
X"e4519da4",
|
||
X"3f7651a8",
|
||
X"8f3f83e0",
|
||
X"80085280",
|
||
X"fad8519d",
|
||
X"faf8519d",
|
||
X"933f7651",
|
||
X"a8973f83",
|
||
X"e0800883",
|
||
... | ... | |
X"83e08008",
|
||
X"785255a7",
|
||
X"ed3f80fb",
|
||
X"cc5483e0",
|
||
X"ec5483e0",
|
||
X"80088d38",
|
||
X"87398076",
|
||
X"34fda039",
|
||
X"80fbc854",
|
||
X"80fbe854",
|
||
X"74537352",
|
||
X"80fb9451",
|
||
X"80fbb451",
|
||
X"9cb23f80",
|
||
X"5480fbd4",
|
||
X"5480fbf4",
|
||
X"519ca93f",
|
||
X"81145473",
|
||
X"a82e0981",
|
||
... | ... | |
X"bb3f83e0",
|
||
X"800883e0",
|
||
X"80085380",
|
||
X"fb9c5254",
|
||
X"fbbc5254",
|
||
X"bfe33f83",
|
||
X"e08008a5",
|
||
X"387a5180",
|
||
... | ... | |
X"fecc38e8",
|
||
X"397a5180",
|
||
X"c0f63f82",
|
||
X"5380fba0",
|
||
X"5380fbc0",
|
||
X"5283e080",
|
||
X"081b5180",
|
||
X"d1f83f7a",
|
||
X"d29b3f7a",
|
||
X"5180c0e0",
|
||
X"3f735283",
|
||
X"e080081b",
|
||
... | ... | |
X"7683e2f4",
|
||
X"0c775198",
|
||
X"e53f80fb",
|
||
X"a85283e0",
|
||
X"c85283e0",
|
||
X"800851f5",
|
||
X"a33f83e0",
|
||
X"8008812e",
|
||
... | ... | |
X"940880fc",
|
||
X"055580fd",
|
||
X"527451bd",
|
||
X"9e3f83e0",
|
||
X"c13f83e0",
|
||
X"80085b77",
|
||
X"8224b238",
|
||
X"ff187087",
|
||
X"2b83ffff",
|
||
X"800680fd",
|
||
X"b80583e0",
|
||
X"d80583e0",
|
||
X"dc595755",
|
||
X"81805575",
|
||
X"70810557",
|
||
... | ... | |
X"7782e92e",
|
||
X"09810681",
|
||
X"b13880fb",
|
||
X"d0518cd4",
|
||
X"f0518cd4",
|
||
X"3f785877",
|
||
X"87327030",
|
||
X"70720780",
|
||
... | ... | |
X"800b8513",
|
||
X"34863d0d",
|
||
X"04fe3d0d",
|
||
X"80fcd008",
|
||
X"80fcf008",
|
||
X"70337081",
|
||
X"ff067084",
|
||
X"2a813281",
|
||
X"06555152",
|
||
X"5371802e",
|
||
X"8c38a873",
|
||
X"3480fcd0",
|
||
X"3480fcf0",
|
||
X"0851b871",
|
||
X"347183e0",
|
||
X"800c843d",
|
||
X"0d04fe3d",
|
||
X"0d80fcd0",
|
||
X"0d80fcf0",
|
||
X"08703370",
|
||
X"81ff0670",
|
||
X"852a8132",
|
||
... | ... | |
X"52537180",
|
||
X"2e8c3898",
|
||
X"733480fc",
|
||
X"d00851b8",
|
||
X"f00851b8",
|
||
X"71347183",
|
||
X"e0800c84",
|
||
X"3d0d0480",
|
||
X"3d0d80fc",
|
||
X"cc085193",
|
||
X"ec085193",
|
||
X"713480fc",
|
||
X"d80851ff",
|
||
X"f80851ff",
|
||
X"7134823d",
|
||
X"0d04fe3d",
|
||
X"0d029305",
|
||
X"3380fccc",
|
||
X"3380fcec",
|
||
X"08535380",
|
||
X"72348a51",
|
||
X"d2da3fd3",
|
||
X"3f80fcdc",
|
||
X"3f80fcfc",
|
||
X"085280f8",
|
||
X"723480fc",
|
||
X"f4085280",
|
||
X"723480fd",
|
||
X"94085280",
|
||
X"7234fa13",
|
||
X"80fcfc08",
|
||
X"80fd9c08",
|
||
X"53537272",
|
||
X"3480fce4",
|
||
X"3480fd84",
|
||
X"08528072",
|
||
X"3480fcec",
|
||
X"3480fd8c",
|
||
X"08527272",
|
||
X"3480fcd0",
|
||
X"3480fcf0",
|
||
X"08528072",
|
||
X"3480fcd0",
|
||
X"3480fcf0",
|
||
X"0852b872",
|
||
X"34843d0d",
|
||
X"04ff3d0d",
|
||
X"028f0533",
|
||
X"80fcd408",
|
||
X"80fcf408",
|
||
X"52527171",
|
||
X"34fe9e3f",
|
||
X"83e08008",
|
||
... | ... | |
X"feb83f83",
|
||
X"e0800880",
|
||
X"2ef33880",
|
||
X"fcd40870",
|
||
X"fcf40870",
|
||
X"337081ff",
|
||
X"0683e080",
|
||
X"0c515182",
|
||
X"3d0d0480",
|
||
X"3d0d80fc",
|
||
X"cc0851a3",
|
||
X"ec0851a3",
|
||
X"713480fc",
|
||
X"d80851ff",
|
||
X"f80851ff",
|
||
X"713480fc",
|
||
X"d00851a8",
|
||
X"f00851a8",
|
||
X"713480fc",
|
||
X"d00851b8",
|
||
X"f00851b8",
|
||
X"7134823d",
|
||
X"0d04803d",
|
||
X"0d80fccc",
|
||
X"0d80fcec",
|
||
X"08703370",
|
||
X"81c00670",
|
||
X"30708025",
|
||
... | ... | |
X"51515151",
|
||
X"823d0d04",
|
||
X"ff3d0d80",
|
||
X"fcd00870",
|
||
X"fcf00870",
|
||
X"337081ff",
|
||
X"0670832a",
|
||
X"81327081",
|
||
... | ... | |
X"52527080",
|
||
X"2ee538b0",
|
||
X"723480fc",
|
||
X"d00851b8",
|
||
X"f00851b8",
|
||
X"7134833d",
|
||
X"0d04803d",
|
||
X"0d80fd88",
|
||
X"0d80fda8",
|
||
X"08700881",
|
||
X"0683e080",
|
||
X"0c51823d",
|
||
... | ... | |
X"54807325",
|
||
X"94387370",
|
||
X"81055533",
|
||
X"5280fbd8",
|
||
X"5280fbf8",
|
||
X"51859d3f",
|
||
X"ff1353e9",
|
||
X"39853d0d",
|
||
... | ... | |
X"80598155",
|
||
X"8539747a",
|
||
X"29557452",
|
||
X"7551b4ef",
|
||
X"7551b592",
|
||
X"3f83e080",
|
||
X"087a27ee",
|
||
X"3874802e",
|
||
X"80dd3874",
|
||
X"527551b4",
|
||
X"da3f83e0",
|
||
X"fd3f83e0",
|
||
X"80087553",
|
||
X"765254b5",
|
||
X"813f83e0",
|
||
X"a43f83e0",
|
||
X"80087a53",
|
||
X"755256b4",
|
||
X"c23f83e0",
|
||
X"e53f83e0",
|
||
X"80087930",
|
||
X"707b079f",
|
||
X"2a707780",
|
||
... | ... | |
X"3d0d0480",
|
||
X"0b83e5bc",
|
||
X"08248a38",
|
||
X"a5e33fff",
|
||
X"a5f83fff",
|
||
X"0b83e5bc",
|
||
X"0c800b83",
|
||
X"e0800c04",
|
||
... | ... | |
X"e5ec0c75",
|
||
X"83e5bc0c",
|
||
X"74537652",
|
||
X"7851a494",
|
||
X"7851a4a9",
|
||
X"3f83e080",
|
||
X"0883e5ec",
|
||
X"081683e5",
|
||
... | ... | |
X"3d0d7b7d",
|
||
X"5a5a82d0",
|
||
X"5283e5b8",
|
||
X"0851a7fb",
|
||
X"0851a89e",
|
||
X"3f83e080",
|
||
X"0857f9e3",
|
||
X"3f795283",
|
||
... | ... | |
X"81068283",
|
||
X"3883e39c",
|
||
X"080b0b80",
|
||
X"fb9c5370",
|
||
X"fbbc5370",
|
||
X"52559da0",
|
||
X"3f0b0b80",
|
||
X"fb9c5280",
|
||
X"fbbc5280",
|
||
X"c015519d",
|
||
X"933f74bc",
|
||
X"160c7282",
|
||
... | ... | |
X"a9397554",
|
||
X"76538c18",
|
||
X"08155279",
|
||
X"519ac33f",
|
||
X"519ad83f",
|
||
X"83e08008",
|
||
X"81bd3875",
|
||
X"5483e080",
|
||
X"08538c18",
|
||
X"08158105",
|
||
X"528c3dfd",
|
||
X"05519aa6",
|
||
X"05519abb",
|
||
X"3f83e080",
|
||
X"0881a038",
|
||
X"02a90533",
|
||
... | ... | |
X"2a8c1908",
|
||
X"05528c3d",
|
||
X"fc055199",
|
||
X"e53f83e0",
|
||
X"fa3f83e0",
|
||
X"800880df",
|
||
X"3802a905",
|
||
X"338c3d33",
|
||
... | ... | |
X"2a8c1908",
|
||
X"05528c3d",
|
||
X"fc055199",
|
||
X"b53f83e0",
|
||
X"ca3f83e0",
|
||
X"8008b038",
|
||
X"02ab0533",
|
||
X"028405aa",
|
||
... | ... | |
X"2b83e006",
|
||
X"5455901b",
|
||
X"08527b51",
|
||
X"94bc3f83",
|
||
X"94d13f83",
|
||
X"e0800857",
|
||
X"83e08008",
|
||
X"8182387b",
|
||
... | ... | |
X"7a7c5957",
|
||
X"825483fe",
|
||
X"53775276",
|
||
X"5192cb3f",
|
||
X"5192e03f",
|
||
X"835683e0",
|
||
X"800880ec",
|
||
X"38811733",
|
||
... | ... | |
X"810680d4",
|
||
X"387554b6",
|
||
X"53775276",
|
||
X"51929f3f",
|
||
X"5192b43f",
|
||
X"83e08008",
|
||
X"98388117",
|
||
X"33773371",
|
||
... | ... | |
X"82c62eac",
|
||
X"38825480",
|
||
X"d2537752",
|
||
X"765191f6",
|
||
X"7651928b",
|
||
X"3f83e080",
|
||
X"08983881",
|
||
X"17337733",
|
||
... | ... | |
X"810680d4",
|
||
X"38905483",
|
||
X"be537452",
|
||
X"76519182",
|
||
X"76519197",
|
||
X"3f83e080",
|
||
X"0880c938",
|
||
X"8e3d3355",
|
||
... | ... | |
X"82d139a4",
|
||
X"548d5377",
|
||
X"52765190",
|
||
X"993f8156",
|
||
X"ae3f8156",
|
||
X"83e08008",
|
||
X"82bd3802",
|
||
X"ba053302",
|
||
... | ... | |
X"842a8f3d",
|
||
X"33547171",
|
||
X"31535656",
|
||
X"97dd3f83",
|
||
X"98803f83",
|
||
X"e0800882",
|
||
X"0570881b",
|
||
X"0c709ff6",
|
||
... | ... | |
X"53a81708",
|
||
X"5279557b",
|
||
X"83387b55",
|
||
X"74518abe",
|
||
X"74518ad3",
|
||
X"3f83e080",
|
||
X"08a43898",
|
||
X"17081698",
|
||
... | ... | |
X"892b5653",
|
||
X"76802eb5",
|
||
X"387452ff",
|
||
X"165192cb",
|
||
X"165192ee",
|
||
X"3f83e080",
|
||
X"08ff1876",
|
||
X"54705358",
|
||
X"5392bc3f",
|
||
X"5392df3f",
|
||
X"83e08008",
|
||
X"73269638",
|
||
X"74307078",
|
||
... | ... | |
X"83e00654",
|
||
X"58901d08",
|
||
X"52785186",
|
||
X"993f83e0",
|
||
X"ae3f83e0",
|
||
X"80084183",
|
||
X"e08008ff",
|
||
X"b8387833",
|
||
... | ... | |
X"557483e6",
|
||
X"80082eaf",
|
||
X"38805374",
|
||
X"5187b83f",
|
||
X"5187cd3f",
|
||
X"83e08008",
|
||
X"81ff06ff",
|
||
X"147081ff",
|
||
... | ... | |
X"fe387483",
|
||
X"e6800c86",
|
||
X"3d0d04ff",
|
||
X"0b83e680",
|
||
X"0c849d3f",
|
||
X"81d43f80",
|
||
X"0b83e080",
|
||
X"0c04fc3d",
|
||
X"0d760284",
|
||
X"05a20522",
|
||
X"028805a6",
|
||
X"05227a54",
|
||
X"555555ff",
|
||
X"973f7280",
|
||
X"2ea03883",
|
||
X"e6941433",
|
||
X"75708105",
|
||
X"57348114",
|
||
X"3d0dff0b",
|
||
X"83e6800c",
|
||
X"84af3f81",
|
||
X"5187913f",
|
||
X"83e08008",
|
||
X"81ff0652",
|
||
X"71ee3881",
|
||
X"d63f7183",
|
||
X"e0800c83",
|
||
X"3d0d04fc",
|
||
X"3d0d7602",
|
||
X"8405a205",
|
||
X"22028805",
|
||
X"a605227a",
|
||
X"54555555",
|
||
X"ff823f72",
|
||
X"802ea038",
|
||
X"83e69414",
|
||
X"33757081",
|
||
X"05573481",
|
||
X"147083ff",
|
||
X"ff06ff15",
|
||
X"7083ffff",
|
||
X"06ff1570",
|
||
X"83ffff06",
|
||
X"56525552",
|
||
X"dd39800b",
|
||
X"83e0800c",
|
||
X"863d0d04",
|
||
X"fc3d0d76",
|
||
X"787a1156",
|
||
X"53558053",
|
||
X"71742e93",
|
||
X"38721551",
|
||
X"703383e6",
|
||
X"94133481",
|
||
X"12811454",
|
||
X"52ea3980",
|
||
X"06565255",
|
||
X"52dd3980",
|
||
X"0b83e080",
|
||
X"0c863d0d",
|
||
X"04fd3d0d",
|
||
X"905483e6",
|
||
X"80085186",
|
||
X"f23f83e0",
|
||
X"800881ff",
|
||
X"06ff1571",
|
||
X"30713070",
|
||
X"73079f2a",
|
||
X"729f2a06",
|
||
X"52555255",
|
||
X"5372db38",
|
||
X"853d0d04",
|
||
X"ff3d0d83",
|
||
X"e68c0810",
|
||
X"83e68408",
|
||
X"0780fd8c",
|
||
X"0852710c",
|
||
X"833d0d04",
|
||
X"800b83e6",
|
||
X"8c0ce13f",
|
||
X"04810b83",
|
||
X"e68c0cd8",
|
||
X"3f04ed3f",
|
||
X"047183e6",
|
||
X"880c0480",
|
||
X"3d0d8051",
|
||
X"f43f810b",
|
||
X"83e68c0c",
|
||
X"810b83e6",
|
||
X"840cffb8",
|
||
X"3f823d0d",
|
||
X"04803d0d",
|
||
X"72307074",
|
||
X"07802583",
|
||
X"e6840c51",
|
||
X"ffa23f82",
|
||
X"3d0d04fe",
|
||
X"3d0d0293",
|
||
X"053380fd",
|
||
X"90085473",
|
||
X"0c80fd8c",
|
||
X"08527108",
|
||
X"70810651",
|
||
X"5170f738",
|
||
X"72087081",
|
||
X"ff0683e0",
|
||
X"800c5184",
|
||
X"3d0d0480",
|
||
X"3d0d81ff",
|
||
X"51cd3f83",
|
||
X"04fc3d0d",
|
||
X"76787a11",
|
||
X"56535580",
|
||
X"5371742e",
|
||
X"93387215",
|
||
X"51703383",
|
||
X"e6941334",
|
||
X"81128114",
|
||
X"5452ea39",
|
||
X"800b83e0",
|
||
X"800c863d",
|
||
X"0d04fd3d",
|
||
X"0d905483",
|
||
X"e6800851",
|
||
X"87803f83",
|
||
X"e0800881",
|
||
X"ff0683e0",
|
||
X"800c823d",
|
||
X"0d04ff3d",
|
||
X"0d74902b",
|
||
X"740780fd",
|
||
X"80085271",
|
||
X"ff06ff15",
|
||
X"71307130",
|
||
X"7073079f",
|
||
X"2a729f2a",
|
||
X"06525552",
|
||
X"555372db",
|
||
X"38853d0d",
|
||
X"04ff3d0d",
|
||
X"83e68c08",
|
||
X"1083e684",
|
||
X"080780fd",
|
||
X"ac085271",
|
||
X"0c833d0d",
|
||
X"0404fb3d",
|
||
X"0d780284",
|
||
X"059f0533",
|
||
X"70982b55",
|
||
X"57557280",
|
||
X"259b3875",
|
||
X"80ff0656",
|
||
X"805280f7",
|
||
X"51e03f83",
|
||
X"e0800881",
|
||
X"ff065473",
|
||
X"812680ff",
|
||
X"388051fe",
|
||
X"e03fff9f",
|
||
X"3f8151fe",
|
||
X"d83fff97",
|
||
X"3f7551fe",
|
||
X"e63f7498",
|
||
X"2a51fedf",
|
||
X"3f74902a",
|
||
X"04800b83",
|
||
X"e68c0ce1",
|
||
X"3f04810b",
|
||
X"83e68c0c",
|
||
X"d83f04ed",
|
||
X"3f047183",
|
||
X"e6880c04",
|
||
X"803d0d80",
|
||
X"51f43f81",
|
||
X"0b83e68c",
|
||
X"0c810b83",
|
||
X"e6840cff",
|
||
X"b83f823d",
|
||
X"0d04803d",
|
||
X"0d723070",
|
||
X"74078025",
|
||
X"83e6840c",
|
||
X"51ffa23f",
|
||
X"823d0d04",
|
||
X"fe3d0d02",
|
||
X"93053380",
|
||
X"fdb00854",
|
||
X"730c80fd",
|
||
X"ac085271",
|
||
X"08708106",
|
||
X"515170f7",
|
||
X"38720870",
|
||
X"81ff0683",
|
||
X"e0800c51",
|
||
X"843d0d04",
|
||
X"803d0d81",
|
||
X"ff51cd3f",
|
||
X"83e08008",
|
||
X"81ff0683",
|
||
X"e0800c82",
|
||
X"3d0d04ff",
|
||
X"3d0d7490",
|
||
X"2b740780",
|
||
X"fda00852",
|
||
X"710c833d",
|
||
X"0d0404fb",
|
||
X"3d0d7802",
|
||
X"84059f05",
|
||
X"3370982b",
|
||
X"55575572",
|
||
X"80259b38",
|
||
X"7580ff06",
|
||
X"56805280",
|
||
X"f751e03f",
|
||
X"83e08008",
|
||
X"81ff0654",
|
||
X"73812680",
|
||
X"ff388051",
|
||
X"fee03fff",
|
||
X"9f3f8151",
|
||
X"fed83fff",
|
||
X"973f7551",
|
||
X"fee63f74",
|
||
X"982a51fe",
|
||
X"df3f7490",
|
||
X"2a7081ff",
|
||
X"065253fe",
|
||
X"d33f7488",
|
||
X"2a7081ff",
|
||
X"065253fe",
|
||
X"c73f7481",
|
||
X"ff0651fe",
|
||
X"bf3f8155",
|
||
X"7580c02e",
|
||
X"09810686",
|
||
X"38819555",
|
||
X"8d397580",
|
||
X"c82e0981",
|
||
X"06843881",
|
||
X"87557451",
|
||
X"fe9e3f8a",
|
||
X"55fec53f",
|
||
X"83e08008",
|
||
X"81ff0670",
|
||
X"982b5454",
|
||
X"7280258c",
|
||
X"38ff1570",
|
||
X"81ff0656",
|
||
X"5374e238",
|
||
X"7383e080",
|
||
X"0c873d0d",
|
||
X"04fa3d0d",
|
||
X"fdbe3f80",
|
||
X"51fdd33f",
|
||
X"8a54fe90",
|
||
X"3fff1470",
|
||
X"81ff0655",
|
||
X"5373f338",
|
||
X"73745355",
|
||
X"80c051fe",
|
||
X"a63f83e0",
|
||
X"800881ff",
|
||
X"06547381",
|
||
X"2e098106",
|
||
X"82a13883",
|
||
X"aa5280c8",
|
||
X"51fe8c3f",
|
||
X"83e08008",
|
||
X"81ff0653",
|
||
X"72812e09",
|
||
X"810681a9",
|
||
X"38745487",
|
||
X"3d741154",
|
||
X"56fdc53f",
|
||
X"83e08008",
|
||
X"73348114",
|
||
X"7081ff06",
|
||
X"5253fed3",
|
||
X"3f74882a",
|
||
X"7081ff06",
|
||
X"5253fec7",
|
||
X"3f7481ff",
|
||
X"0651febf",
|
||
X"3f815575",
|
||
X"80c02e09",
|
||
X"81068638",
|
||
X"8195558d",
|
||
X"397580c8",
|
||
X"2e098106",
|
||
X"84388187",
|
||
X"557451fe",
|
||
X"9e3f8a55",
|
||
X"fec53f83",
|
||
X"55538374",
|
||
X"27e53802",
|
||
X"9a053353",
|
||
X"72812e09",
|
||
X"810681db",
|
||
X"38029b05",
|
||
X"335380ce",
|
||
X"90547281",
|
||
X"aa2e8e38",
|
||
X"81c93980",
|
||
X"e451ff9e",
|
||
X"f73fff14",
|
||
X"5473802e",
|
||
X"81b93882",
|
||
X"0a5281e9",
|
||
X"51fda43f",
|
||
X"83e08008",
|
||
X"81ff0653",
|
||
X"72dd3872",
|
||
X"5280fa51",
|
||
X"fd913f83",
|
||
X"e0800881",
|
||
X"ff067098",
|
||
X"2b545472",
|
||
X"80258c38",
|
||
X"ff157081",
|
||
X"ff065653",
|
||
X"74e23873",
|
||
X"83e0800c",
|
||
X"873d0d04",
|
||
X"fa3d0dfd",
|
||
X"be3f8051",
|
||
X"fdd33f8a",
|
||
X"54fe903f",
|
||
X"ff147081",
|
||
X"ff065553",
|
||
X"73f33873",
|
||
X"74535580",
|
||
X"c051fea6",
|
||
X"3f83e080",
|
||
X"0881ff06",
|
||
X"5473812e",
|
||
X"09810682",
|
||
X"a13883aa",
|
||
X"5280c851",
|
||
X"fe8c3f83",
|
||
X"e0800881",
|
||
X"ff065372",
|
||
X"812e0981",
|
||
X"0681a938",
|
||
X"7454873d",
|
||
X"74115456",
|
||
X"fdc53f83",
|
||
X"81913872",
|
||
X"54731653",
|
||
X"fcd23f83",
|
||
X"e0800873",
|
||
X"34811470",
|
||
X"81ff0655",
|
||
X"53837427",
|
||
X"e538029a",
|
||
X"05335372",
|
||
X"812e0981",
|
||
X"0681db38",
|
||
X"029b0533",
|
||
X"5380ce90",
|
||
X"547281aa",
|
||
X"2e8e3881",
|
||
X"c93980e4",
|
||
X"51ff9f8c",
|
||
X"e838873d",
|
||
X"3370862a",
|
||
X"70810651",
|
||
X"54548c55",
|
||
X"7280e438",
|
||
X"845580df",
|
||
X"39745281",
|
||
X"e951fccb",
|
||
X"3f83e080",
|
||
X"0881ff06",
|
||
X"53825581",
|
||
X"e9568173",
|
||
X"27863873",
|
||
X"5580c156",
|
||
X"80ce9054",
|
||
X"8b3980e4",
|
||
X"51ff9de8",
|
||
X"3fff1454",
|
||
X"73802e81",
|
||
X"b938820a",
|
||
X"5281e951",
|
||
X"fda43f83",
|
||
X"e0800881",
|
||
X"ff065372",
|
||
X"dd387252",
|
||
X"80fa51fd",
|
||
X"913f83e0",
|
||
X"800881ff",
|
||
X"06537281",
|
||
X"91387254",
|
||
X"731653fc",
|
||
X"d23f83e0",
|
||
X"80087334",
|
||
X"81147081",
|
||
X"ff065553",
|
||
X"837427e8",
|
||
X"38873d33",
|
||
X"70862a70",
|
||
X"81065154",
|
||
X"548c5572",
|
||
X"80e43884",
|
||
X"5580df39",
|
||
X"745281e9",
|
||
X"51fccb3f",
|
||
X"73802ea9",
|
||
X"38805275",
|
||
X"51fc983f",
|
||
X"83e08008",
|
||
X"81ff0653",
|
||
X"825581e9",
|
||
X"56817327",
|
||
X"86387355",
|
||
X"80c15680",
|
||
X"ce90548b",
|
||
X"3980e451",
|
||
X"ff9dfd3f",
|
||
X"ff145473",
|
||
X"802ea938",
|
||
X"80527551",
|
||
X"fc983f83",
|
||
X"e0800881",
|
||
X"ff065372",
|
||
X"e0388480",
|
||
X"5280d051",
|
||
X"fc843f83",
|
||
X"e0800881",
|
||
X"ff065372",
|
||
X"802e8338",
|
||
X"80557483",
|
||
X"e6903480",
|
||
X"51fafe3f",
|
||
X"fbbd3f88",
|
||
X"3d0d04fc",
|
||
X"3d0d7683",
|
||
X"72e03884",
|
||
X"805280d0",
|
||
X"51fc843f",
|
||
X"83e08008",
|
||
X"81ff0653",
|
||
X"72802e83",
|
||
X"38805574",
|
||
X"83e69034",
|
||
X"8051fafe",
|
||
X"3ffbbd3f",
|
||
X"883d0d04",
|
||
X"fb3d0d77",
|
||
X"54800b83",
|
||
X"e6903370",
|
||
X"832a7081",
|
||
X"06515556",
|
||
X"54728538",
|
||
X"73892b54",
|
||
X"735280d1",
|
||
X"51fbc33f",
|
||
X"83e08008",
|
||
X"81ff0653",
|
||
X"72bb3882",
|
||
X"b8c054fb",
|
||
X"863f83e0",
|
||
X"800881ff",
|
||
X"06537281",
|
Also available in: Unified diff
Retry SD init if it fails. Use faster clock for mux - hopefully will fix c64 joystick issue. Sped up spi clock to match. Closed a few minor timing problems.