repo2/unmerged/changes_de1_to_mcc @ 112
1 | markw | diff -ur atari800core_20140301_de1/address_decoder.vhdl atari800core_v1_20140121_mcc216/address_decoder.vhdl
|
|
--- atari800core_20140301_de1/address_decoder.vhdl 2014-01-20 20:46:50.000000000 +0000
|
|||
+++ atari800core_v1_20140121_mcc216/address_decoder.vhdl 2014-01-30 21:32:16.000000000 +0000
|
|||
@@ -10,6 +10,7 @@
|
|||
use ieee.numeric_std.all;
|
|||
use IEEE.STD_LOGIC_MISC.all;
|
|||
+
|
|||
ENTITY address_decoder IS
|
|||
PORT
|
|||
(
|
|||
@@ -110,10 +111,12 @@
|
|||
SDRAM_ADDR : out std_logic_vector(22 downto 0); -- 1 extra bit for byte alignment
|
|||
SDRAM_READ_EN : out std_logic; -- if no reads pending may be a good time to do a refresh
|
|||
SDRAM_WRITE_EN : out std_logic;
|
|||
- SDRAM_REQUEST : out std_logic; -- Toggle this to issue a new request
|
|||
+ --SDRAM_REQUEST : out std_logic; -- Toggle this to issue a new request
|
|||
+ SDRAM_REQUEST : out std_logic; -- Usual pattern
|
|||
SDRAM_REFRESH : out std_logic;
|
|||
- SDRAM_REPLY : in std_logic; -- This matches the request once complete
|
|||
+ --SDRAM_REPLY : in std_logic; -- This matches the request once complete
|
|||
+ SDRAM_REQUEST_COMPLETE : in std_logic;
|
|||
SDRAM_DATA : in std_logic_vector(31 downto 0);
|
|||
WRITE_DATA : out std_logic_vector(31 downto 0)
|
|||
@@ -161,12 +164,15 @@
|
|||
signal ram_chip_select : std_logic;
|
|||
signal sdram_chip_select : std_logic;
|
|||
- signal sdram_request_next : std_logic;
|
|||
- signal sdram_request_reg : std_logic;
|
|||
- signal SDRAM_REQUEST_COMPLETE : std_logic;
|
|||
+-- signal sdram_request_next : std_logic;
|
|||
+-- signal sdram_request_reg : std_logic;
|
|||
+-- signal SDRAM_REQUEST_COMPLETE : std_logic;
|
|||
signal fetch_priority : std_logic_vector(2 downto 0);
|
|||
+ signal fetch_wait_next : std_logic_vector(8 downto 0);
|
|||
+ signal fetch_wait_reg : std_logic_vector(8 downto 0);
|
|||
+
|
|||
BEGIN
|
|||
-- register
|
|||
process(clk,reset_n)
|
|||
@@ -179,7 +185,8 @@
|
|||
width_32bit_reg <= '0';
|
|||
write_enable_reg <= '0';
|
|||
data_write_reg <= (others=> '0');
|
|||
- sdram_request_reg <= '0';
|
|||
+ --sdram_request_reg <= '0';
|
|||
+ fetch_wait_reg <= (others=>'0');
|
|||
elsif (clk'event and clk='1') then
|
|||
addr_reg <= addr_next;
|
|||
state_reg <= state_next;
|
|||
@@ -188,7 +195,8 @@
|
|||
width_32bit_reg <= width_32bit_next;
|
|||
write_enable_reg <= write_enable_next;
|
|||
data_write_reg <= data_WRITE_next;
|
|||
- sdram_request_reg <= sdram_request_next;
|
|||
+ --sdram_request_reg <= sdram_request_next;
|
|||
+ fetch_wait_reg <= fetch_wait_next;
|
|||
end if;
|
|||
end process;
|
|||
@@ -211,13 +219,14 @@
|
|||
-- state machine impl
|
|||
fetch_priority <= ANTIC_FETCH&ZPU_FETCH&CPU_FETCH;
|
|||
- process(state_reg, addr_reg, data_write_reg, width_8bit_reg, width_16bit_reg, width_32bit_reg, write_enable_reg, fetch_priority, antic_addr, zpu_addr, cpu_addr, request_complete, zpu_8bit_write_enable,zpu_16bit_write_enable,zpu_32bit_write_enable,zpu_read_enable, cpu_write_n, CPU_WRITE_DATA, ZPU_WRITE_DATA)
|
|||
+ process(fetch_wait_reg, state_reg, addr_reg, data_write_reg, width_8bit_reg, width_16bit_reg, width_32bit_reg, write_enable_reg, fetch_priority, antic_addr, zpu_addr, cpu_addr, request_complete, zpu_8bit_write_enable,zpu_16bit_write_enable,zpu_32bit_write_enable,zpu_read_enable, cpu_write_n, CPU_WRITE_DATA, ZPU_WRITE_DATA)
|
|||
begin
|
|||
start_request <= '0';
|
|||
notify_antic <= '0';
|
|||
notify_cpu <= '0';
|
|||
notify_zpu <= '0';
|
|||
state_next <= state_reg;
|
|||
+ fetch_wait_next <= std_logic_vector(unsigned(fetch_wait_reg) +1);
|
|||
addr_next <= addr_reg;
|
|||
data_WRITE_next <= data_WRITE_reg;
|
|||
@@ -228,6 +237,7 @@
|
|||
case state_reg is
|
|||
when state_idle =>
|
|||
+ fetch_wait_next <= (others=>'0');
|
|||
write_enable_next <= '0';
|
|||
width_8bit_next <= '0';
|
|||
width_16bit_next <= '0';
|
|||
@@ -300,8 +310,9 @@
|
|||
RAM_REQUEST <= ram_chip_select;
|
|||
- SDRAM_REQUEST <= sdram_request_next;
|
|||
- SDRAM_REFRESH <= '0'; -- TODO, BROKEN! antic_refresh;
|
|||
+ SDRAM_REQUEST <= sdram_chip_select;
|
|||
+ --SDRAM_REQUEST <= sdram_request_next;
|
|||
+ SDRAM_REFRESH <= fetch_wait_reg(7); -- TODO, BROKEN! antic_refresh;
|
|||
SDRAM_READ_EN <= not(write_enable_next);
|
|||
SDRAM_WRITE_EN <= write_enable_next;
|
|||
@@ -312,8 +323,8 @@
|
|||
WRITE_DATA <= DATA_WRITE_next;
|
|||
-- a little sdram glue - move to sdram wrapper? TODO
|
|||
- SDRAM_REQUEST_COMPLETE <= (SDRAM_REPLY xnor sdram_request_reg) and not(start_request);
|
|||
- sdram_request_next <= sdram_request_reg xor sdram_chip_select;
|
|||
+ --SDRAM_REQUEST_COMPLETE <= (SDRAM_REPLY xnor sdram_request_reg) and not(start_request);
|
|||
+ --sdram_request_next <= sdram_request_reg xor sdram_chip_select;
|
|||
-- Calculate which memory area to use
|
|||
extended_access_cpu_or_antic <= extended_access_antic or extended_access_cpu;
|
|||
@@ -466,19 +477,31 @@
|
|||
X"50"|X"51"|X"52"|X"53"|X"54"|X"55"|X"56"|X"57" =>
|
|||
if (portb(7) = '0' and portb(0) = '1') then
|
|||
- request_complete <= ROM_REQUEST_COMPLETE;
|
|||
- MEMORY_DATA(7 downto 0) <= ROM_DATA;
|
|||
- rom_request <= start_request;
|
|||
+ --request_complete <= ROM_REQUEST_COMPLETE;
|
|||
+ --MEMORY_DATA(7 downto 0) <= ROM_DATA;
|
|||
+ --rom_request <= start_request;
|
|||
+ MEMORY_DATA(7 downto 0) <= SDRAM_DATA(7 downto 0);
|
|||
+
|
|||
+ if (write_enable_next = '1') then
|
|||
+ request_complete <= '1';
|
|||
+ else
|
|||
+ request_complete <= sdram_request_COMPLETE;
|
|||
+ sdram_chip_select <= start_request;
|
|||
+ end if;
|
|||
--ROM_ADDR <= "000000"&"00010"&ADDR(10 downto 0); -- x01000 based 2k (i.e. self test is 4k in - usually under hardware regs)
|
|||
case rom_select is
|
|||
when "00" =>
|
|||
ROM_ADDR <= "000000"&"00"&"010"&ADDR_next(10 downto 0); -- x01000 based 2k
|
|||
+ SDRAM_ADDR <="0010000"&"00"&"010"&ADDR_next(10 downto 0); -- x01000 based 2k
|
|||
when "01" =>
|
|||
ROM_ADDR <= "000000"&"01"&"010"&ADDR_next(10 downto 0); -- x05000 based 2k
|
|||
+ SDRAM_ADDR <="0010000"&"01"&"010"&ADDR_next(10 downto 0); -- x05000 based 2k
|
|||
when "10" =>
|
|||
ROM_ADDR <= "000000"&"10"&"010"&ADDR_next(10 downto 0); -- x09000 based 2k
|
|||
+ SDRAM_ADDR <="0010000"&"10"&"010"&ADDR_next(10 downto 0); -- x09000 based 2k
|
|||
when "11" =>
|
|||
ROM_ADDR <= "000001"&"00"&"010"&ADDR_next(10 downto 0); -- x11000 based 2k (0xd000 already taken by basic!)
|
|||
+ SDRAM_ADDR <= "0010001"&"00"&"010"&ADDR_next(10 downto 0); -- x11000 based 2k (0xd000 already taken by basic!)
|
|||
end case;
|
|||
else
|
|||
if (use_sdram = '1') then
|
|||
@@ -540,10 +563,19 @@
|
|||
request_complete <= CART_REQUEST_COMPLETE;
|
|||
else
|
|||
if (portb(1) = '0') then
|
|||
- request_complete <= ROM_REQUEST_COMPLETE;
|
|||
- MEMORY_DATA(7 downto 0) <= ROM_DATA;
|
|||
- rom_request <= start_request;
|
|||
+ --request_complete <= ROM_REQUEST_COMPLETE;
|
|||
+ --MEMORY_DATA(7 downto 0) <= ROM_DATA;
|
|||
+ --rom_request <= start_request;
|
|||
+ MEMORY_DATA(7 downto 0) <= SDRAM_DATA(7 downto 0);
|
|||
+ if (write_enable_next = '1') then
|
|||
+ request_complete <= '1';
|
|||
+ else
|
|||
+ request_complete <= sdram_request_COMPLETE;
|
|||
+ sdram_chip_select <= start_request;
|
|||
+ end if;
|
|||
+
|
|||
ROM_ADDR <= "000000"&"110"&ADDR_next(12 downto 0); -- x0C000 based 8k
|
|||
+ SDRAM_ADDR <="0010000"&"110"&ADDR_next(12 downto 0); -- x0C000 based 8k
|
|||
else
|
|||
if (use_sdram = '1') then
|
|||
MEMORY_DATA(7 downto 0) <= SDRAM_DATA(7 downto 0);
|
|||
@@ -566,18 +598,30 @@
|
|||
|X"F0"|X"F1"|X"F2"|X"F3"|X"F4"|X"F5"|X"F6"|X"F7"|X"F8"|X"F9"|X"FA"|X"FB"|X"FC"|X"FD"|X"FE"|X"FF" =>
|
|||
if (portb(0) = '1') then
|
|||
- request_complete <= ROM_REQUEST_COMPLETE;
|
|||
- MEMORY_DATA(7 downto 0) <= ROM_DATA;
|
|||
- rom_request <= start_request;
|
|||
+ --request_complete <= ROM_REQUEST_COMPLETE;
|
|||
+ --MEMORY_DATA(7 downto 0) <= ROM_DATA;
|
|||
+ --rom_request <= start_request;
|
|||
+ MEMORY_DATA(7 downto 0) <= SDRAM_DATA(7 downto 0);
|
|||
+ if (write_enable_next = '1') then
|
|||
+ request_complete <= '1';
|
|||
+ else
|
|||
+ request_complete <= sdram_request_COMPLETE;
|
|||
+ sdram_chip_select <= start_request;
|
|||
+ end if;
|
|||
+
|
|||
case rom_select is
|
|||
when "00" =>
|
|||
ROM_ADDR <= "000000"&"00"&ADDR_next(13 downto 0); -- x00000 based 16k
|
|||
+ SDRAM_ADDR <= "0010000"&"00"&ADDR_next(13 downto 0); -- x00000 based 16k
|
|||
when "01" =>
|
|||
ROM_ADDR <= "000000"&"01"&ADDR_next(13 downto 0); -- x04000 based 16k
|
|||
+ SDRAM_ADDR <= "0010000"&"01"&ADDR_next(13 downto 0); -- x04000 based 16k
|
|||
when "10" =>
|
|||
ROM_ADDR <= "000000"&"10"&ADDR_next(13 downto 0); -- x08000 based 16k
|
|||
+ SDRAM_ADDR <= "0010000"&"10"&ADDR_next(13 downto 0); -- x08000 based 16k
|
|||
when "11" =>
|
|||
ROM_ADDR <= "000001"&"00"&ADDR_next(13 downto 0); -- x10000 based 16k (0xc000 already taken by basic!)
|
|||
+ SDRAM_ADDR <= "0010001"&"00"&ADDR_next(13 downto 0); -- x10000 based 16k (0xc000 already taken by basic!)
|
|||
end case;
|
|||
else
|
|||
diff -ur atari800core_20140301_de1/atari800core.qsf atari800core_v1_20140121_mcc216/atari800core.qsf
|
|||
--- atari800core_20140301_de1/atari800core.qsf 2014-03-01 12:49:08.000000000 +0000
|
|||
+++ atari800core_v1_20140121_mcc216/atari800core.qsf 2014-02-03 19:39:52.000000000 +0000
|
|||
@@ -36,8 +36,8 @@
|
|||
# -------------------------------------------------------------------------- #
|
|||
-set_global_assignment -name FAMILY "Cyclone II"
|
|||
-set_global_assignment -name DEVICE EP2C20F484C7
|
|||
+set_global_assignment -name FAMILY "Cyclone III"
|
|||
+set_global_assignment -name DEVICE EP3C16E144C8
|
|||
set_global_assignment -name TOP_LEVEL_ENTITY atari800core
|
|||
set_global_assignment -name ORIGINAL_QUARTUS_VERSION "12.1 SP1"
|
|||
set_global_assignment -name PROJECT_CREATION_TIME_DATE "13:58:39 APRIL 11, 2013"
|
|||
@@ -47,504 +47,35 @@
|
|||
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
|
|||
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
|
|||
set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL"
|
|||
-set_location_assignment PIN_A15 -to GPIO_0[4]
|
|||
-set_location_assignment PIN_B15 -to GPIO_0[5]
|
|||
-set_location_assignment PIN_A16 -to GPIO_0[6]
|
|||
-set_location_assignment PIN_B16 -to GPIO_0[7]
|
|||
-set_location_assignment PIN_A17 -to GPIO_0[8]
|
|||
-set_location_assignment PIN_B17 -to GPIO_0[9]
|
|||
-set_location_assignment PIN_A18 -to GPIO_0[10]
|
|||
-set_location_assignment PIN_B18 -to GPIO_0[11]
|
|||
-set_location_assignment PIN_A19 -to GPIO_0[12]
|
|||
-set_location_assignment PIN_B19 -to GPIO_0[13]
|
|||
-set_location_assignment PIN_A20 -to GPIO_0[14]
|
|||
-set_location_assignment PIN_B20 -to GPIO_0[15]
|
|||
-set_location_assignment PIN_C21 -to GPIO_0[16]
|
|||
-set_location_assignment PIN_C22 -to GPIO_0[17]
|
|||
-set_location_assignment PIN_D21 -to GPIO_0[18]
|
|||
-set_location_assignment PIN_D22 -to GPIO_0[19]
|
|||
-set_location_assignment PIN_E21 -to GPIO_0[20]
|
|||
-set_location_assignment PIN_E22 -to GPIO_0[21]
|
|||
-set_location_assignment PIN_F21 -to GPIO_0[22]
|
|||
-set_location_assignment PIN_F22 -to GPIO_0[23]
|
|||
-set_location_assignment PIN_G21 -to GPIO_0[24]
|
|||
-set_location_assignment PIN_G22 -to GPIO_0[25]
|
|||
-set_location_assignment PIN_J21 -to GPIO_0[26]
|
|||
-set_location_assignment PIN_J22 -to GPIO_0[27]
|
|||
-set_location_assignment PIN_K21 -to GPIO_0[28]
|
|||
-set_location_assignment PIN_K22 -to GPIO_0[29]
|
|||
-set_location_assignment PIN_J19 -to GPIO_0[30]
|
|||
-set_location_assignment PIN_J20 -to GPIO_0[31]
|
|||
-set_location_assignment PIN_J18 -to GPIO_0[32]
|
|||
-set_location_assignment PIN_K20 -to GPIO_0[33]
|
|||
-set_location_assignment PIN_L19 -to GPIO_0[34]
|
|||
-set_location_assignment PIN_L18 -to GPIO_0[35]
|
|||
-set_location_assignment PIN_H12 -to GPIO_1[0]
|
|||
-set_location_assignment PIN_H13 -to GPIO_1[1]
|
|||
-set_location_assignment PIN_H14 -to GPIO_1[2]
|
|||
-set_location_assignment PIN_G15 -to GPIO_1[3]
|
|||
-set_location_assignment PIN_E14 -to GPIO_1[4]
|
|||
-set_location_assignment PIN_E15 -to GPIO_1[5]
|
|||
-set_location_assignment PIN_F15 -to GPIO_1[6]
|
|||
-set_location_assignment PIN_G16 -to GPIO_1[7]
|
|||
-set_location_assignment PIN_F12 -to GPIO_1[8]
|
|||
-set_location_assignment PIN_F13 -to GPIO_1[9]
|
|||
-set_location_assignment PIN_C14 -to GPIO_1[10]
|
|||
-set_location_assignment PIN_D14 -to GPIO_1[11]
|
|||
-set_location_assignment PIN_D15 -to GPIO_1[12]
|
|||
-set_location_assignment PIN_D16 -to GPIO_1[13]
|
|||
-set_location_assignment PIN_C17 -to GPIO_1[14]
|
|||
-set_location_assignment PIN_C18 -to GPIO_1[15]
|
|||
-set_location_assignment PIN_C19 -to GPIO_1[16]
|
|||
-set_location_assignment PIN_C20 -to GPIO_1[17]
|
|||
-set_location_assignment PIN_D19 -to GPIO_1[18]
|
|||
-set_location_assignment PIN_D20 -to GPIO_1[19]
|
|||
-set_location_assignment PIN_E20 -to GPIO_1[20]
|
|||
-set_location_assignment PIN_F20 -to GPIO_1[21]
|
|||
-set_location_assignment PIN_E19 -to GPIO_1[22]
|
|||
-set_location_assignment PIN_E18 -to GPIO_1[23]
|
|||
-set_location_assignment PIN_G20 -to GPIO_1[24]
|
|||
-set_location_assignment PIN_G18 -to GPIO_1[25]
|
|||
-set_location_assignment PIN_G17 -to GPIO_1[26]
|
|||
-set_location_assignment PIN_H17 -to GPIO_1[27]
|
|||
-set_location_assignment PIN_J15 -to GPIO_1[28]
|
|||
-set_location_assignment PIN_H18 -to GPIO_1[29]
|
|||
-set_location_assignment PIN_N22 -to GPIO_1[30]
|
|||
-set_location_assignment PIN_N21 -to GPIO_1[31]
|
|||
-set_location_assignment PIN_P15 -to GPIO_1[32]
|
|||
-set_location_assignment PIN_N15 -to GPIO_1[33]
|
|||
-set_location_assignment PIN_P17 -to GPIO_1[34]
|
|||
-set_location_assignment PIN_P18 -to GPIO_1[35]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[0]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[1]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[2]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[3]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[4]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[5]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[6]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[7]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[8]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[9]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[10]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[11]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[12]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[13]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[14]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[15]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[16]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[17]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[18]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[19]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[20]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[21]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[22]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[23]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[24]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[25]
|
|||
-set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to GPIO_0[26]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[27]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[28]
|
|||
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[29]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[30]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[31]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[32]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[33]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[34]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[35]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[0]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[1]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[2]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[3]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[4]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[5]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[6]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[7]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[8]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[9]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[10]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[11]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[12]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[13]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[14]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[15]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[16]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[17]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[18]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[19]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[20]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[21]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[22]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[23]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[24]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[25]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[26]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[27]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[28]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[29]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[30]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[31]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[32]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[33]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[34]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[35]
|
|||
-set_location_assignment PIN_L22 -to SW[0]
|
|||
-set_location_assignment PIN_L21 -to SW[1]
|
|||
-set_location_assignment PIN_M22 -to SW[2]
|
|||
-set_location_assignment PIN_V12 -to SW[3]
|
|||
-set_location_assignment PIN_W12 -to SW[4]
|
|||
-set_location_assignment PIN_U12 -to SW[5]
|
|||
-set_location_assignment PIN_U11 -to SW[6]
|
|||
-set_location_assignment PIN_M2 -to SW[7]
|
|||
-set_location_assignment PIN_M1 -to SW[8]
|
|||
-set_location_assignment PIN_L2 -to SW[9]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to SW[0]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to SW[1]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to SW[2]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to SW[3]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to SW[4]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to SW[5]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to SW[6]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to SW[7]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to SW[8]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to SW[9]
|
|||
-set_location_assignment PIN_J2 -to HEX0[0]
|
|||
-set_location_assignment PIN_J1 -to HEX0[1]
|
|||
-set_location_assignment PIN_H2 -to HEX0[2]
|
|||
-set_location_assignment PIN_H1 -to HEX0[3]
|
|||
-set_location_assignment PIN_F2 -to HEX0[4]
|
|||
-set_location_assignment PIN_F1 -to HEX0[5]
|
|||
-set_location_assignment PIN_E2 -to HEX0[6]
|
|||
-set_location_assignment PIN_E1 -to HEX1[0]
|
|||
-set_location_assignment PIN_H6 -to HEX1[1]
|
|||
-set_location_assignment PIN_H5 -to HEX1[2]
|
|||
-set_location_assignment PIN_H4 -to HEX1[3]
|
|||
-set_location_assignment PIN_G3 -to HEX1[4]
|
|||
-set_location_assignment PIN_D2 -to HEX1[5]
|
|||
-set_location_assignment PIN_D1 -to HEX1[6]
|
|||
-set_location_assignment PIN_G5 -to HEX2[0]
|
|||
-set_location_assignment PIN_G6 -to HEX2[1]
|
|||
-set_location_assignment PIN_C2 -to HEX2[2]
|
|||
-set_location_assignment PIN_C1 -to HEX2[3]
|
|||
-set_location_assignment PIN_E3 -to HEX2[4]
|
|||
-set_location_assignment PIN_E4 -to HEX2[5]
|
|||
-set_location_assignment PIN_D3 -to HEX2[6]
|
|||
-set_location_assignment PIN_F4 -to HEX3[0]
|
|||
-set_location_assignment PIN_D5 -to HEX3[1]
|
|||
-set_location_assignment PIN_D6 -to HEX3[2]
|
|||
-set_location_assignment PIN_J4 -to HEX3[3]
|
|||
-set_location_assignment PIN_L8 -to HEX3[4]
|
|||
-set_location_assignment PIN_F3 -to HEX3[5]
|
|||
-set_location_assignment PIN_D4 -to HEX3[6]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[0]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[1]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[2]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[3]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[4]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[5]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[6]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[0]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[1]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[2]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[3]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[4]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[5]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[6]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[0]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[1]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[2]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[3]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[4]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[5]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[6]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[0]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[1]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[2]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[3]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[4]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[5]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[6]
|
|||
-set_location_assignment PIN_R22 -to KEY[0]
|
|||
-set_location_assignment PIN_R21 -to KEY[1]
|
|||
-set_location_assignment PIN_T22 -to KEY[2]
|
|||
-set_location_assignment PIN_T21 -to KEY[3]
|
|||
-set_location_assignment PIN_R20 -to LEDR[0]
|
|||
-set_location_assignment PIN_R19 -to LEDR[1]
|
|||
-set_location_assignment PIN_U19 -to LEDR[2]
|
|||
-set_location_assignment PIN_Y19 -to LEDR[3]
|
|||
-set_location_assignment PIN_T18 -to LEDR[4]
|
|||
-set_location_assignment PIN_V19 -to LEDR[5]
|
|||
-set_location_assignment PIN_Y18 -to LEDR[6]
|
|||
-set_location_assignment PIN_U18 -to LEDR[7]
|
|||
-set_location_assignment PIN_R18 -to LEDR[8]
|
|||
-set_location_assignment PIN_R17 -to LEDR[9]
|
|||
-set_location_assignment PIN_U22 -to LEDG[0]
|
|||
-set_location_assignment PIN_U21 -to LEDG[1]
|
|||
-set_location_assignment PIN_V22 -to LEDG[2]
|
|||
-set_location_assignment PIN_V21 -to LEDG[3]
|
|||
-set_location_assignment PIN_W22 -to LEDG[4]
|
|||
-set_location_assignment PIN_W21 -to LEDG[5]
|
|||
-set_location_assignment PIN_Y22 -to LEDG[6]
|
|||
-set_location_assignment PIN_Y21 -to LEDG[7]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to KEY[0]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to KEY[1]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to KEY[2]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to KEY[3]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[0]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[1]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[2]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[3]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[4]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[5]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[6]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[7]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[8]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[9]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[0]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[1]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[2]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[3]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[4]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[5]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[6]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[7]
|
|||
-set_location_assignment PIN_D12 -to CLOCK_27[0]
|
|||
-set_location_assignment PIN_E12 -to CLOCK_27[1]
|
|||
-set_location_assignment PIN_B12 -to CLOCK_24[0]
|
|||
-set_location_assignment PIN_A12 -to CLOCK_24[1]
|
|||
-set_location_assignment PIN_L1 -to CLOCK_50
|
|||
-set_location_assignment PIN_M21 -to EXT_CLOCK
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to CLOCK_27[1]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to CLOCK_24[0]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to CLOCK_24[1]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to CLOCK_50
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to EXT_CLOCK
|
|||
-set_location_assignment PIN_H15 -to PS2_CLK
|
|||
-set_location_assignment PIN_J14 -to PS2_DAT
|
|||
-set_location_assignment PIN_F14 -to UART_RXD
|
|||
-set_location_assignment PIN_G12 -to UART_TXD
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to PS2_CLK
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to PS2_DAT
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to UART_RXD
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to UART_TXD
|
|||
-set_location_assignment PIN_E8 -to TDI
|
|||
-set_location_assignment PIN_D8 -to TCS
|
|||
-set_location_assignment PIN_C7 -to TCK
|
|||
-set_location_assignment PIN_D7 -to TDO
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to TDI
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to TCS
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to TCK
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to TDO
|
|||
-set_location_assignment PIN_D9 -to VGA_R[0]
|
|||
-set_location_assignment PIN_C9 -to VGA_R[1]
|
|||
-set_location_assignment PIN_A7 -to VGA_R[2]
|
|||
-set_location_assignment PIN_B7 -to VGA_R[3]
|
|||
-set_location_assignment PIN_B8 -to VGA_G[0]
|
|||
-set_location_assignment PIN_C10 -to VGA_G[1]
|
|||
-set_location_assignment PIN_B9 -to VGA_G[2]
|
|||
-set_location_assignment PIN_A8 -to VGA_G[3]
|
|||
-set_location_assignment PIN_A9 -to VGA_B[0]
|
|||
-set_location_assignment PIN_D11 -to VGA_B[1]
|
|||
-set_location_assignment PIN_A10 -to VGA_B[2]
|
|||
-set_location_assignment PIN_B10 -to VGA_B[3]
|
|||
-set_location_assignment PIN_A11 -to VGA_HS
|
|||
-set_location_assignment PIN_B11 -to VGA_VS
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to VGA_R[0]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to VGA_R[1]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to VGA_R[2]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to VGA_R[3]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to VGA_G[0]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to VGA_G[1]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to VGA_G[2]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to VGA_G[3]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to VGA_B[0]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to VGA_B[1]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to VGA_B[2]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to VGA_B[3]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to VGA_HS
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to VGA_VS
|
|||
-set_location_assignment PIN_A3 -to I2C_SCLK
|
|||
-set_location_assignment PIN_B3 -to I2C_SDAT
|
|||
-set_location_assignment PIN_A6 -to AUD_ADCLRCK
|
|||
-set_location_assignment PIN_B6 -to AUD_ADCDAT
|
|||
-set_location_assignment PIN_A5 -to AUD_DACLRCK
|
|||
-set_location_assignment PIN_B5 -to AUD_DACDAT
|
|||
-set_location_assignment PIN_B4 -to AUD_XCK
|
|||
-set_location_assignment PIN_A4 -to AUD_BCLK
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to I2C_SCLK
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to I2C_SDAT
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to AUD_ADCLRCK
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to AUD_ADCDAT
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to AUD_DACLRCK
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to AUD_DACDAT
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to AUD_XCK
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to AUD_BCLK
|
|||
-set_location_assignment PIN_W4 -to DRAM_ADDR[0]
|
|||
-set_location_assignment PIN_W5 -to DRAM_ADDR[1]
|
|||
-set_location_assignment PIN_Y3 -to DRAM_ADDR[2]
|
|||
-set_location_assignment PIN_Y4 -to DRAM_ADDR[3]
|
|||
-set_location_assignment PIN_R6 -to DRAM_ADDR[4]
|
|||
-set_location_assignment PIN_R5 -to DRAM_ADDR[5]
|
|||
-set_location_assignment PIN_P6 -to DRAM_ADDR[6]
|
|||
-set_location_assignment PIN_P5 -to DRAM_ADDR[7]
|
|||
-set_location_assignment PIN_P3 -to DRAM_ADDR[8]
|
|||
-set_location_assignment PIN_N4 -to DRAM_ADDR[9]
|
|||
-set_location_assignment PIN_W3 -to DRAM_ADDR[10]
|
|||
-set_location_assignment PIN_N6 -to DRAM_ADDR[11]
|
|||
-set_location_assignment PIN_U3 -to DRAM_BA_0
|
|||
-set_location_assignment PIN_V4 -to DRAM_BA_1
|
|||
-set_location_assignment PIN_T3 -to DRAM_CAS_N
|
|||
-set_location_assignment PIN_N3 -to DRAM_CKE
|
|||
-set_location_assignment PIN_U4 -to DRAM_CLK
|
|||
-set_location_assignment PIN_T6 -to DRAM_CS_N
|
|||
-set_location_assignment PIN_U1 -to DRAM_DQ[0]
|
|||
-set_location_assignment PIN_U2 -to DRAM_DQ[1]
|
|||
-set_location_assignment PIN_V1 -to DRAM_DQ[2]
|
|||
-set_location_assignment PIN_V2 -to DRAM_DQ[3]
|
|||
-set_location_assignment PIN_W1 -to DRAM_DQ[4]
|
|||
-set_location_assignment PIN_W2 -to DRAM_DQ[5]
|
|||
-set_location_assignment PIN_Y1 -to DRAM_DQ[6]
|
|||
-set_location_assignment PIN_Y2 -to DRAM_DQ[7]
|
|||
-set_location_assignment PIN_N1 -to DRAM_DQ[8]
|
|||
-set_location_assignment PIN_N2 -to DRAM_DQ[9]
|
|||
-set_location_assignment PIN_P1 -to DRAM_DQ[10]
|
|||
-set_location_assignment PIN_P2 -to DRAM_DQ[11]
|
|||
-set_location_assignment PIN_R1 -to DRAM_DQ[12]
|
|||
-set_location_assignment PIN_R2 -to DRAM_DQ[13]
|
|||
-set_location_assignment PIN_T1 -to DRAM_DQ[14]
|
|||
-set_location_assignment PIN_T2 -to DRAM_DQ[15]
|
|||
-set_location_assignment PIN_R7 -to DRAM_LDQM
|
|||
-set_location_assignment PIN_T5 -to DRAM_RAS_N
|
|||
-set_location_assignment PIN_M5 -to DRAM_UDQM
|
|||
-set_location_assignment PIN_R8 -to DRAM_WE_N
|
|||
-set_location_assignment PIN_AB20 -to FL_ADDR[0]
|
|||
-set_location_assignment PIN_AA14 -to FL_ADDR[1]
|
|||
-set_location_assignment PIN_Y16 -to FL_ADDR[2]
|
|||
-set_location_assignment PIN_R15 -to FL_ADDR[3]
|
|||
-set_location_assignment PIN_T15 -to FL_ADDR[4]
|
|||
-set_location_assignment PIN_U15 -to FL_ADDR[5]
|
|||
-set_location_assignment PIN_V15 -to FL_ADDR[6]
|
|||
-set_location_assignment PIN_W15 -to FL_ADDR[7]
|
|||
-set_location_assignment PIN_R14 -to FL_ADDR[8]
|
|||
-set_location_assignment PIN_Y13 -to FL_ADDR[9]
|
|||
-set_location_assignment PIN_R12 -to FL_ADDR[10]
|
|||
-set_location_assignment PIN_T12 -to FL_ADDR[11]
|
|||
-set_location_assignment PIN_AB14 -to FL_ADDR[12]
|
|||
-set_location_assignment PIN_AA13 -to FL_ADDR[13]
|
|||
-set_location_assignment PIN_AB13 -to FL_ADDR[14]
|
|||
-set_location_assignment PIN_AA12 -to FL_ADDR[15]
|
|||
-set_location_assignment PIN_AB12 -to FL_ADDR[16]
|
|||
-set_location_assignment PIN_AA20 -to FL_ADDR[17]
|
|||
-set_location_assignment PIN_U14 -to FL_ADDR[18]
|
|||
-set_location_assignment PIN_V14 -to FL_ADDR[19]
|
|||
-set_location_assignment PIN_U13 -to FL_ADDR[20]
|
|||
-set_location_assignment PIN_R13 -to FL_ADDR[21]
|
|||
-set_location_assignment PIN_AB16 -to FL_DQ[0]
|
|||
-set_location_assignment PIN_AA16 -to FL_DQ[1]
|
|||
-set_location_assignment PIN_AB17 -to FL_DQ[2]
|
|||
-set_location_assignment PIN_AA17 -to FL_DQ[3]
|
|||
-set_location_assignment PIN_AB18 -to FL_DQ[4]
|
|||
-set_location_assignment PIN_AA18 -to FL_DQ[5]
|
|||
-set_location_assignment PIN_AB19 -to FL_DQ[6]
|
|||
-set_location_assignment PIN_AA19 -to FL_DQ[7]
|
|||
-set_location_assignment PIN_AA15 -to FL_OE_N
|
|||
-set_location_assignment PIN_W14 -to FL_RST_N
|
|||
-set_location_assignment PIN_Y14 -to FL_WE_N
|
|||
-set_location_assignment PIN_AA3 -to SRAM_ADDR[0]
|
|||
-set_location_assignment PIN_AB3 -to SRAM_ADDR[1]
|
|||
-set_location_assignment PIN_AA4 -to SRAM_ADDR[2]
|
|||
-set_location_assignment PIN_AB4 -to SRAM_ADDR[3]
|
|||
-set_location_assignment PIN_AA5 -to SRAM_ADDR[4]
|
|||
-set_location_assignment PIN_AB10 -to SRAM_ADDR[5]
|
|||
-set_location_assignment PIN_AA11 -to SRAM_ADDR[6]
|
|||
-set_location_assignment PIN_AB11 -to SRAM_ADDR[7]
|
|||
-set_location_assignment PIN_V11 -to SRAM_ADDR[8]
|
|||
-set_location_assignment PIN_W11 -to SRAM_ADDR[9]
|
|||
-set_location_assignment PIN_R11 -to SRAM_ADDR[10]
|
|||
-set_location_assignment PIN_T11 -to SRAM_ADDR[11]
|
|||
-set_location_assignment PIN_Y10 -to SRAM_ADDR[12]
|
|||
-set_location_assignment PIN_U10 -to SRAM_ADDR[13]
|
|||
-set_location_assignment PIN_R10 -to SRAM_ADDR[14]
|
|||
-set_location_assignment PIN_T7 -to SRAM_ADDR[15]
|
|||
-set_location_assignment PIN_Y6 -to SRAM_ADDR[16]
|
|||
-set_location_assignment PIN_Y5 -to SRAM_ADDR[17]
|
|||
-set_location_assignment PIN_AB5 -to SRAM_CE_N
|
|||
-set_location_assignment PIN_AA6 -to SRAM_DQ[0]
|
|||
-set_location_assignment PIN_AB6 -to SRAM_DQ[1]
|
|||
-set_location_assignment PIN_AA7 -to SRAM_DQ[2]
|
|||
-set_location_assignment PIN_AB7 -to SRAM_DQ[3]
|
|||
-set_location_assignment PIN_AA8 -to SRAM_DQ[4]
|
|||
-set_location_assignment PIN_AB8 -to SRAM_DQ[5]
|
|||
-set_location_assignment PIN_AA9 -to SRAM_DQ[6]
|
|||
-set_location_assignment PIN_AB9 -to SRAM_DQ[7]
|
|||
-set_location_assignment PIN_Y9 -to SRAM_DQ[8]
|
|||
-set_location_assignment PIN_W9 -to SRAM_DQ[9]
|
|||
-set_location_assignment PIN_V9 -to SRAM_DQ[10]
|
|||
-set_location_assignment PIN_U9 -to SRAM_DQ[11]
|
|||
-set_location_assignment PIN_R9 -to SRAM_DQ[12]
|
|||
-set_location_assignment PIN_W8 -to SRAM_DQ[13]
|
|||
-set_location_assignment PIN_V8 -to SRAM_DQ[14]
|
|||
-set_location_assignment PIN_U8 -to SRAM_DQ[15]
|
|||
-set_location_assignment PIN_Y7 -to SRAM_LB_N
|
|||
-set_location_assignment PIN_T8 -to SRAM_OE_N
|
|||
-set_location_assignment PIN_W7 -to SRAM_UB_N
|
|||
-set_location_assignment PIN_AA10 -to SRAM_WE_N
|
|||
-set_instance_assignment -name CYCLONEII_TERMINATION "SERIES 25 OHMS" -to SRAM_CE_N
|
|||
-set_instance_assignment -name CYCLONEII_TERMINATION "SERIES 25 OHMS" -to SRAM_LB_N
|
|||
-set_instance_assignment -name CYCLONEII_TERMINATION "SERIES 25 OHMS" -to SRAM_OE_N
|
|||
-set_instance_assignment -name CYCLONEII_TERMINATION "SERIES 25 OHMS" -to SRAM_UB_N
|
|||
-set_instance_assignment -name CYCLONEII_TERMINATION "SERIES 25 OHMS" -to SRAM_WE_N
|
|||
-set_instance_assignment -name CYCLONEII_TERMINATION "SERIES 25 OHMS" -to SRAM_ADDR[0]
|
|||
-set_instance_assignment -name CYCLONEII_TERMINATION "SERIES 25 OHMS" -to SRAM_ADDR[1]
|
|||
-set_instance_assignment -name CYCLONEII_TERMINATION "SERIES 25 OHMS" -to SRAM_ADDR[2]
|
|||
-set_instance_assignment -name CYCLONEII_TERMINATION "SERIES 25 OHMS" -to SRAM_ADDR[3]
|
|||
-set_instance_assignment -name CYCLONEII_TERMINATION "SERIES 25 OHMS" -to SRAM_ADDR[4]
|
|||
-set_instance_assignment -name CYCLONEII_TERMINATION "SERIES 25 OHMS" -to SRAM_ADDR[5]
|
|||
-set_instance_assignment -name CYCLONEII_TERMINATION "SERIES 25 OHMS" -to SRAM_ADDR[6]
|
|||
-set_instance_assignment -name CYCLONEII_TERMINATION "SERIES 25 OHMS" -to SRAM_ADDR[7]
|
|||
-set_instance_assignment -name CYCLONEII_TERMINATION "SERIES 25 OHMS" -to SRAM_ADDR[8]
|
|||
-set_instance_assignment -name CYCLONEII_TERMINATION "SERIES 25 OHMS" -to SRAM_ADDR[9]
|
|||
-set_instance_assignment -name CYCLONEII_TERMINATION "SERIES 25 OHMS" -to SRAM_ADDR[10]
|
|||
-set_instance_assignment -name CYCLONEII_TERMINATION "SERIES 25 OHMS" -to SRAM_ADDR[11]
|
|||
-set_instance_assignment -name CYCLONEII_TERMINATION "SERIES 25 OHMS" -to SRAM_ADDR[12]
|
|||
-set_instance_assignment -name CYCLONEII_TERMINATION "SERIES 25 OHMS" -to SRAM_ADDR[13]
|
|||
-set_instance_assignment -name CYCLONEII_TERMINATION "SERIES 25 OHMS" -to SRAM_ADDR[14]
|
|||
-set_instance_assignment -name CYCLONEII_TERMINATION "SERIES 25 OHMS" -to SRAM_ADDR[15]
|
|||
-set_instance_assignment -name CYCLONEII_TERMINATION "SERIES 25 OHMS" -to SRAM_ADDR[16]
|
|||
-set_instance_assignment -name CYCLONEII_TERMINATION "SERIES 25 OHMS" -to SRAM_ADDR[17]
|
|||
-set_instance_assignment -name CYCLONEII_TERMINATION "SERIES 25 OHMS" -to SRAM_DQ[0]
|
|||
-set_instance_assignment -name CYCLONEII_TERMINATION "SERIES 25 OHMS" -to SRAM_DQ[1]
|
|||
-set_instance_assignment -name CYCLONEII_TERMINATION "SERIES 25 OHMS" -to SRAM_DQ[2]
|
|||
-set_instance_assignment -name CYCLONEII_TERMINATION "SERIES 25 OHMS" -to SRAM_DQ[3]
|
|||
-set_instance_assignment -name CYCLONEII_TERMINATION "SERIES 25 OHMS" -to SRAM_DQ[4]
|
|||
-set_instance_assignment -name CYCLONEII_TERMINATION "SERIES 25 OHMS" -to SRAM_DQ[5]
|
|||
-set_instance_assignment -name CYCLONEII_TERMINATION "SERIES 25 OHMS" -to SRAM_DQ[6]
|
|||
-set_instance_assignment -name CYCLONEII_TERMINATION "SERIES 25 OHMS" -to SRAM_DQ[7]
|
|||
-set_instance_assignment -name CYCLONEII_TERMINATION "SERIES 25 OHMS" -to SRAM_DQ[8]
|
|||
-set_instance_assignment -name CYCLONEII_TERMINATION "SERIES 25 OHMS" -to SRAM_DQ[9]
|
|||
-set_instance_assignment -name CYCLONEII_TERMINATION "SERIES 25 OHMS" -to SRAM_DQ[10]
|
|||
-set_instance_assignment -name CYCLONEII_TERMINATION "SERIES 25 OHMS" -to SRAM_DQ[11]
|
|||
-set_instance_assignment -name CYCLONEII_TERMINATION "SERIES 25 OHMS" -to SRAM_DQ[12]
|
|||
-set_instance_assignment -name CYCLONEII_TERMINATION "SERIES 25 OHMS" -to SRAM_DQ[13]
|
|||
-set_instance_assignment -name CYCLONEII_TERMINATION "SERIES 25 OHMS" -to SRAM_DQ[14]
|
|||
-set_instance_assignment -name CYCLONEII_TERMINATION "SERIES 25 OHMS" -to SRAM_DQ[15]
|
|||
-set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
|
|||
-set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
|
|||
-set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
|
|||
+set_location_assignment PIN_135 -to VGA_R[0]
|
|||
+set_location_assignment PIN_134 -to VGA_R[1]
|
|||
+set_location_assignment PIN_133 -to VGA_R[2]
|
|||
+set_location_assignment PIN_132 -to VGA_R[3]
|
|||
+set_location_assignment PIN_141 -to VGA_G[0]
|
|||
+set_location_assignment PIN_142 -to VGA_G[1]
|
|||
+set_location_assignment PIN_143 -to VGA_G[2]
|
|||
+set_location_assignment PIN_144 -to VGA_G[3]
|
|||
+set_location_assignment PIN_4 -to VGA_B[0]
|
|||
+set_location_assignment PIN_7 -to VGA_B[1]
|
|||
+set_location_assignment PIN_10 -to VGA_B[2]
|
|||
+set_location_assignment PIN_11 -to VGA_B[3]
|
|||
+set_location_assignment PIN_136 -to VGA_HS
|
|||
+set_location_assignment PIN_137 -to VGA_VS
|
|||
set_global_assignment -name ENABLE_SIGNALTAP ON
|
|||
-set_location_assignment PIN_V20 -to SD_CLK
|
|||
-set_location_assignment PIN_Y20 -to SD_CMD
|
|||
-set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
|
|||
-set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
|
|||
+set_location_assignment PIN_44 -to SD_CLK
|
|||
+set_location_assignment PIN_46 -to SD_CMD
|
|||
set_global_assignment -name USE_CONFIGURATION_DEVICE ON
|
|||
set_global_assignment -name STRATIX_CONFIGURATION_DEVICE EPCS4
|
|||
set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS OFF
|
|||
set_global_assignment -name BLOCK_DESIGN_NAMING AUTO
|
|||
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
|
|||
set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
|
|||
-set_location_assignment PIN_W20 -to SD_DATA
|
|||
-set_location_assignment PIN_U20 -to SD_THREE
|
|||
-set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO"
|
|||
set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "USE AS REGULAR IO"
|
|||
+set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO"
|
|||
+set_global_assignment -name RESERVE_DATA0_AFTER_CONFIGURATION "USE AS REGULAR IO"
|
|||
+set_global_assignment -name RESERVE_DATA1_AFTER_CONFIGURATION "USE AS REGULAR IO"
|
|||
+set_global_assignment -name RESERVE_FLASH_NCE_AFTER_CONFIGURATION "USE AS REGULAR IO"
|
|||
+set_global_assignment -name RESERVE_DCLK_AFTER_CONFIGURATION "USE AS REGULAR IO"
|
|||
set_global_assignment -name SMART_RECOMPILE ON
|
|||
set_global_assignment -name ENABLE_DRC_SETTINGS ON
|
|||
set_global_assignment -name CYCLONEII_OPTIMIZATION_TECHNIQUE SPEED
|
|||
@@ -555,6 +86,215 @@
|
|||
set_global_assignment -name AUTO_PACKED_REGISTERS_STRATIXII NORMAL
|
|||
set_global_assignment -name FITTER_EFFORT "AUTO FIT"
|
|||
set_global_assignment -name OPTIMIZE_HOLD_TIMING "ALL PATHS"
|
|||
+
|
|||
+set_global_assignment -name USE_SIGNALTAP_FILE output_files/stp1.stp
|
|||
+set_location_assignment PIN_28 -to AUDIO_L
|
|||
+set_location_assignment PIN_30 -to AUDIO_R
|
|||
+set_location_assignment PIN_53 -to CPU_RESET_n
|
|||
+set_location_assignment PIN_55 -to FPGA_CLK
|
|||
+set_location_assignment PIN_127 -to JOY1_n[0]
|
|||
+set_location_assignment PIN_91 -to JOY1_n[1]
|
|||
+set_location_assignment PIN_90 -to JOY1_n[2]
|
|||
+set_location_assignment PIN_88 -to JOY1_n[3]
|
|||
+set_location_assignment PIN_89 -to JOY1_n[4]
|
|||
+set_location_assignment PIN_126 -to JOY1_n[5]
|
|||
+set_location_assignment PIN_128 -to JOY2_n[0]
|
|||
+set_location_assignment PIN_25 -to JOY2_n[1]
|
|||
+set_location_assignment PIN_24 -to JOY2_n[2]
|
|||
+set_location_assignment PIN_22 -to JOY2_n[3]
|
|||
+set_location_assignment PIN_23 -to JOY2_n[4]
|
|||
+set_location_assignment PIN_129 -to JOY2_n[5]
|
|||
+set_location_assignment PIN_33 -to PS2K_DAT
|
|||
+set_location_assignment PIN_39 -to PS2K_CLK
|
|||
+set_location_assignment PIN_32 -to PS2M_DAT
|
|||
+set_location_assignment PIN_31 -to PS2M_CLK
|
|||
+set_location_assignment PIN_52 -to SD_DAT0
|
|||
+set_location_assignment PIN_49 -to SD_DAT3
|
|||
+set_location_assignment PIN_42 -to USB_P
|
|||
+set_location_assignment PIN_43 -to USB_N
|
|||
+set_location_assignment PIN_50 -to USB2_P
|
|||
+set_location_assignment PIN_51 -to USB2_N
|
|||
+set_location_assignment PIN_112 -to SDRAM_CLK
|
|||
+set_location_assignment PIN_61 -to SDRAM_A[0]
|
|||
+set_location_assignment PIN_60 -to SDRAM_A[1]
|
|||
+set_location_assignment PIN_59 -to SDRAM_A[2]
|
|||
+set_location_assignment PIN_58 -to SDRAM_A[3]
|
|||
+set_location_assignment PIN_125 -to SDRAM_A[4]
|
|||
+set_location_assignment PIN_121 -to SDRAM_A[5]
|
|||
+set_location_assignment PIN_120 -to SDRAM_A[6]
|
|||
+set_location_assignment PIN_119 -to SDRAM_A[7]
|
|||
+set_location_assignment PIN_115 -to SDRAM_A[8]
|
|||
+set_location_assignment PIN_114 -to SDRAM_A[9]
|
|||
+set_location_assignment PIN_64 -to SDRAM_A[10]
|
|||
+set_location_assignment PIN_113 -to SDRAM_A[11]
|
|||
+set_location_assignment PIN_111 -to SDRAM_A[12]
|
|||
+set_location_assignment PIN_66 -to SDRAM_BA[0]
|
|||
+set_location_assignment PIN_65 -to SDRAM_BA[1]
|
|||
+set_location_assignment PIN_87 -to SDRAM_DQ[0]
|
|||
+set_location_assignment PIN_86 -to SDRAM_DQ[1]
|
|||
+set_location_assignment PIN_85 -to SDRAM_DQ[2]
|
|||
+set_location_assignment PIN_83 -to SDRAM_DQ[3]
|
|||
+set_location_assignment PIN_80 -to SDRAM_DQ[4]
|
|||
+set_location_assignment PIN_79 -to SDRAM_DQ[5]
|
|||
+set_location_assignment PIN_77 -to SDRAM_DQ[6]
|
|||
+set_location_assignment PIN_76 -to SDRAM_DQ[7]
|
|||
+set_location_assignment PIN_106 -to SDRAM_DQ[8]
|
|||
+set_location_assignment PIN_105 -to SDRAM_DQ[9]
|
|||
+set_location_assignment PIN_104 -to SDRAM_DQ[10]
|
|||
+set_location_assignment PIN_103 -to SDRAM_DQ[11]
|
|||
+set_location_assignment PIN_101 -to SDRAM_DQ[12]
|
|||
+set_location_assignment PIN_100 -to SDRAM_DQ[13]
|
|||
+set_location_assignment PIN_99 -to SDRAM_DQ[14]
|
|||
+set_location_assignment PIN_98 -to SDRAM_DQ[15]
|
|||
+set_location_assignment PIN_67 -to SDRAM_CS_n
|
|||
+set_location_assignment PIN_68 -to SDRAM_RAS_n
|
|||
+set_location_assignment PIN_69 -to SDRAM_CAS_n
|
|||
+set_location_assignment PIN_71 -to SDRAM_WE_n
|
|||
+set_location_assignment PIN_6 -to CFG_DOUT
|
|||
+set_location_assignment PIN_8 -to CFG_CS_n
|
|||
+set_location_assignment PIN_12 -to CFG_CLK
|
|||
+set_location_assignment PIN_13 -to CFG_DIN
|
|||
+set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
|
|||
+set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
|
|||
+set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
|
|||
+set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
|
|||
+set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
|
|||
+set_location_assignment PIN_72 -to SDRAM_DQM_n[0]
|
|||
+set_location_assignment PIN_110 -to SDRAM_DQM_n[1]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SDRAM_DQM_n[1]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SDRAM_DQM_n[0]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to AUDIO_L
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to AUDIO_R
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to CPU_RESET_n
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to FPGA_CLK
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to JOY1_n[5]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to JOY1_n[4]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to JOY1_n[3]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to JOY1_n[2]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to JOY1_n[1]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to JOY1_n[0]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to JOY2_n[5]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to JOY2_n[4]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to JOY2_n[3]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to JOY2_n[2]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to JOY2_n[1]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to JOY2_n[0]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to PS2K_CLK
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to PS2K_DAT
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to PS2M_CLK
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to PS2M_DAT
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to SD_CLK
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to SD_CMD
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to SD_DAT0
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to SD_DAT3
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to USB_P
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to USB_N
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to USB2_P
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to USB2_N
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SDRAM_CLK
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SDRAM_A[0]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SDRAM_A[1]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SDRAM_A[2]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SDRAM_A[3]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SDRAM_A[4]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SDRAM_A[5]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SDRAM_A[6]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SDRAM_A[7]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SDRAM_A[8]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SDRAM_A[9]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SDRAM_A[10]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SDRAM_A[11]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SDRAM_BA[0]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SDRAM_BA[1]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SDRAM_DQ[0]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SDRAM_DQ[1]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SDRAM_DQ[2]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SDRAM_DQ[3]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SDRAM_DQ[4]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SDRAM_DQ[5]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SDRAM_DQ[6]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SDRAM_DQ[7]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SDRAM_DQ[8]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SDRAM_DQ[9]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SDRAM_DQ[10]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SDRAM_DQ[11]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SDRAM_DQ[12]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SDRAM_DQ[13]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SDRAM_DQ[14]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SDRAM_DQ[15]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SDRAM_CS_n
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SDRAM_RAS_n
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SDRAM_CAS_n
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SDRAM_WE_n
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to VGA_B[3]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to VGA_B[2]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to VGA_B[1]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to VGA_B[0]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to VGA_G[3]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to VGA_G[2]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to VGA_G[1]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to VGA_G[0]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to VGA_HS
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to VGA_R[3]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to VGA_R[2]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to VGA_R[1]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to VGA_R[0]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to VGA_VS
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to CFG_CLK
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to CFG_CS_n
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to CFG_DIN
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to CFG_DOUT
|
|||
+set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to VGA_R
|
|||
+set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to VGA_G
|
|||
+set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to VGA_B
|
|||
+set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to VGA_HS
|
|||
+set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to VGA_VS
|
|||
+set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_A
|
|||
+set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_BA
|
|||
+set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_RAS_n
|
|||
+set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_CAS_n
|
|||
+set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_WE_n
|
|||
+set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_CS_n
|
|||
+set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_DQ
|
|||
+set_instance_assignment -name FAST_INPUT_REGISTER ON -to SDRAM_DQ
|
|||
+set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to CFG_CLK
|
|||
+set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to CFG_CS_n
|
|||
+set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to CFG_DOUT
|
|||
+set_instance_assignment -name FAST_INPUT_REGISTER ON -to CFG_DIN
|
|||
+set_instance_assignment -name CLOCK_TO_OUTPUT_DELAY 1 -to SDRAM_A[0]
|
|||
+set_instance_assignment -name CLOCK_TO_OUTPUT_DELAY 1 -to SDRAM_A[1]
|
|||
+set_instance_assignment -name CLOCK_TO_OUTPUT_DELAY 1 -to SDRAM_A[2]
|
|||
+set_instance_assignment -name CLOCK_TO_OUTPUT_DELAY 1 -to SDRAM_A[3]
|
|||
+set_instance_assignment -name CLOCK_TO_OUTPUT_DELAY 1 -to SDRAM_A[4]
|
|||
+set_instance_assignment -name CLOCK_TO_OUTPUT_DELAY 1 -to SDRAM_A[5]
|
|||
+set_instance_assignment -name CLOCK_TO_OUTPUT_DELAY 1 -to SDRAM_A[6]
|
|||
+set_instance_assignment -name CLOCK_TO_OUTPUT_DELAY 1 -to SDRAM_A[8]
|
|||
+set_instance_assignment -name CLOCK_TO_OUTPUT_DELAY 1 -to SDRAM_A[9]
|
|||
+set_instance_assignment -name CLOCK_TO_OUTPUT_DELAY 1 -to SDRAM_A[10]
|
|||
+set_instance_assignment -name CLOCK_TO_OUTPUT_DELAY 1 -to SDRAM_A[11]
|
|||
+set_instance_assignment -name CLOCK_TO_OUTPUT_DELAY 1 -to SDRAM_DQ[0]
|
|||
+set_instance_assignment -name CLOCK_TO_OUTPUT_DELAY 1 -to SDRAM_DQ[1]
|
|||
+set_instance_assignment -name CLOCK_TO_OUTPUT_DELAY 1 -to SDRAM_DQ[2]
|
|||
+set_instance_assignment -name CLOCK_TO_OUTPUT_DELAY 1 -to SDRAM_DQ[6]
|
|||
+set_instance_assignment -name CLOCK_TO_OUTPUT_DELAY 1 -to SDRAM_DQ[7]
|
|||
+set_instance_assignment -name CLOCK_TO_OUTPUT_DELAY 1 -to SDRAM_DQ[8]
|
|||
+set_instance_assignment -name CLOCK_TO_OUTPUT_DELAY 1 -to SDRAM_DQ[10]
|
|||
+set_instance_assignment -name CLOCK_TO_OUTPUT_DELAY 1 -to SDRAM_DQ[11]
|
|||
+set_instance_assignment -name CLOCK_TO_OUTPUT_DELAY 1 -to SDRAM_DQ[12]
|
|||
+set_instance_assignment -name CLOCK_TO_OUTPUT_DELAY 1 -to SDRAM_DQ[14]
|
|||
+set_instance_assignment -name CLOCK_TO_OUTPUT_DELAY 1 -to SDRAM_DQ[15]
|
|||
+set_instance_assignment -name CLOCK_TO_OUTPUT_DELAY 1 -to SDRAM_BA[0]
|
|||
+set_instance_assignment -name CLOCK_TO_OUTPUT_DELAY 1 -to SDRAM_CS_n
|
|||
+set_instance_assignment -name CLOCK_TO_OUTPUT_DELAY 1 -to SDRAM_RAS_n
|
|||
+set_instance_assignment -name CLOCK_TO_OUTPUT_DELAY 1 -to SDRAM_WE_n
|
|||
+set_instance_assignment -name IO_MAXIMUM_TOGGLE_RATE "0 MHz" -to VGA_B[3]
|
|||
+
|
|||
+
|
|||
+set_global_assignment -name VERILOG_FILE hq_dac.v
|
|||
+set_global_assignment -name VERILOG_FILE sdram_ctrl_4_ports.v
|
|||
+set_global_assignment -name VHDL_FILE romlo.vhd
|
|||
+set_global_assignment -name VHDL_FILE romhi.vhd
|
|||
+set_global_assignment -name VHDL_FILE ramint.vhd
|
|||
set_global_assignment -name VHDL_FILE atari800core.vhd
|
|||
set_global_assignment -name VHDL_FILE spi_master.vhd
|
|||
set_global_assignment -name VHDL_FILE zpu_config_regs.vhdl
|
|||
@@ -565,19 +305,12 @@
|
|||
set_global_assignment -name VHDL_FILE sdram_statemachine.vhdl
|
|||
set_global_assignment -name VHDL_FILE cpu_65xx_e.vhd
|
|||
set_global_assignment -name VHDL_FILE cpu_65xx_a.vhd
|
|||
-set_global_assignment -name VHDL_FILE i2s_intf.vhd
|
|||
-set_global_assignment -name VHDL_FILE i2c_loader.vhd
|
|||
set_global_assignment -name VHDL_FILE cpu.vhd
|
|||
-set_global_assignment -name VHDL_FILE ledsw.vhd
|
|||
-set_global_assignment -name VHDL_FILE hexdecoder.vhd
|
|||
set_global_assignment -name QIP_FILE pll.qip
|
|||
set_global_assignment -name SDC_FILE atari800core.sdc
|
|||
-set_global_assignment -name VHDL_FILE dumprom.vhdl
|
|||
set_global_assignment -name VHDL_FILE reg_file.vhdl
|
|||
set_global_assignment -name VHDL_FILE address_decoder.vhdl
|
|||
-set_global_assignment -name VHDL_FILE i2sslave.vhdl
|
|||
set_global_assignment -name VHDL_FILE pokey.vhdl
|
|||
-set_global_assignment -name VHDL_FILE nmigen.vhdl
|
|||
set_global_assignment -name VHDL_FILE enable_divider.vhdl
|
|||
set_global_assignment -name VHDL_FILE poly_17_9.vhdl
|
|||
set_global_assignment -name VHDL_FILE poly_5.vhdl
|
|||
@@ -587,11 +320,8 @@
|
|||
set_global_assignment -name VHDL_FILE pokey_mixer.vhdl
|
|||
set_global_assignment -name VHDL_FILE pokey_noise_filter.vhdl
|
|||
set_global_assignment -name VHDL_FILE complete_address_decoder.vhdl
|
|||
-set_global_assignment -name VHDL_FILE tvsync.vhdl
|
|||
set_global_assignment -name VHDL_FILE ps2_keyboard.vhdl
|
|||
set_global_assignment -name VHDL_FILE pokey_ps2_decoder.vhdl
|
|||
-set_global_assignment -name VHDL_FILE flashrom.vhdl
|
|||
-set_global_assignment -name VHDL_FILE sram.vhdl
|
|||
set_global_assignment -name VHDL_FILE antic.vhdl
|
|||
set_global_assignment -name VHDL_FILE antic_dma_clock.vhdl
|
|||
set_global_assignment -name VHDL_FILE antic_counter.vhdl
|
|||
@@ -608,19 +338,11 @@
|
|||
set_global_assignment -name VHDL_FILE syncreset_enable_divider.vhd
|
|||
set_global_assignment -name VHDL_FILE wide_delay_line.vhdl
|
|||
set_global_assignment -name VHDL_FILE irq_glue.vhdl
|
|||
-set_global_assignment -name VHDL_FILE gpio.vhd
|
|||
set_global_assignment -name VHDL_FILE pokey_keyboard_scanner.vhdl
|
|||
set_global_assignment -name VHDL_FILE zpu_glue.vhdl
|
|||
set_global_assignment -name QIP_FILE zpu_ram.qip
|
|||
set_global_assignment -name QIP_FILE zpu_rom.qip
|
|||
-set_location_assignment PIN_A13 -to GPIO_0[0]
|
|||
-set_location_assignment PIN_B13 -to GPIO_0[1]
|
|||
-set_location_assignment PIN_A14 -to GPIO_0[2]
|
|||
-set_location_assignment PIN_B14 -to GPIO_0[3]
|
|||
-
|
|||
-set_global_assignment -name USE_SIGNALTAP_FILE output_files/stp1.stp
|
|||
set_global_assignment -name SIGNALTAP_FILE output_files/stp1.stp
|
|||
-
|
|||
-
|
|||
-
|
|||
+set_global_assignment -name VHDL_FILE internalromram.vhd
|
|||
+set_global_assignment -name VHDL_FILE sdram_statemachine_mcc.vhdl
|
|||
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
|
|||
\ No newline at end of file
|
|||
diff -ur atari800core_20140301_de1/atari800core.qsf~ atari800core_v1_20140121_mcc216/atari800core.qsf~
|
|||
--- atari800core_20140301_de1/atari800core.qsf~ 2014-03-01 12:42:20.000000000 +0000
|
|||
+++ atari800core_v1_20140121_mcc216/atari800core.qsf~ 2014-01-26 10:34:19.000000000 +0000
|
|||
@@ -36,8 +36,8 @@
|
|||
# -------------------------------------------------------------------------- #
|
|||
-set_global_assignment -name FAMILY "Cyclone II"
|
|||
-set_global_assignment -name DEVICE EP2C20F484C7
|
|||
+set_global_assignment -name FAMILY "Cyclone III"
|
|||
+set_global_assignment -name DEVICE EP3C16E144C8
|
|||
set_global_assignment -name TOP_LEVEL_ENTITY atari800core
|
|||
set_global_assignment -name ORIGINAL_QUARTUS_VERSION "12.1 SP1"
|
|||
set_global_assignment -name PROJECT_CREATION_TIME_DATE "13:58:39 APRIL 11, 2013"
|
|||
@@ -47,465 +47,36 @@
|
|||
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
|
|||
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
|
|||
set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL"
|
|||
-set_location_assignment PIN_A15 -to GPIO_0[4]
|
|||
-set_location_assignment PIN_B15 -to GPIO_0[5]
|
|||
-set_location_assignment PIN_A16 -to GPIO_0[6]
|
|||
-set_location_assignment PIN_B16 -to GPIO_0[7]
|
|||
-set_location_assignment PIN_A17 -to GPIO_0[8]
|
|||
-set_location_assignment PIN_B17 -to GPIO_0[9]
|
|||
-set_location_assignment PIN_A18 -to GPIO_0[10]
|
|||
-set_location_assignment PIN_B18 -to GPIO_0[11]
|
|||
-set_location_assignment PIN_A19 -to GPIO_0[12]
|
|||
-set_location_assignment PIN_B19 -to GPIO_0[13]
|
|||
-set_location_assignment PIN_A20 -to GPIO_0[14]
|
|||
-set_location_assignment PIN_B20 -to GPIO_0[15]
|
|||
-set_location_assignment PIN_C21 -to GPIO_0[16]
|
|||
-set_location_assignment PIN_C22 -to GPIO_0[17]
|
|||
-set_location_assignment PIN_D21 -to GPIO_0[18]
|
|||
-set_location_assignment PIN_D22 -to GPIO_0[19]
|
|||
-set_location_assignment PIN_E21 -to GPIO_0[20]
|
|||
-set_location_assignment PIN_E22 -to GPIO_0[21]
|
|||
-set_location_assignment PIN_F21 -to GPIO_0[22]
|
|||
-set_location_assignment PIN_F22 -to GPIO_0[23]
|
|||
-set_location_assignment PIN_G21 -to GPIO_0[24]
|
|||
-set_location_assignment PIN_G22 -to GPIO_0[25]
|
|||
-set_location_assignment PIN_J21 -to GPIO_0[26]
|
|||
-set_location_assignment PIN_J22 -to GPIO_0[27]
|
|||
-set_location_assignment PIN_K21 -to GPIO_0[28]
|
|||
-set_location_assignment PIN_K22 -to GPIO_0[29]
|
|||
-set_location_assignment PIN_J19 -to GPIO_0[30]
|
|||
-set_location_assignment PIN_J20 -to GPIO_0[31]
|
|||
-set_location_assignment PIN_J18 -to GPIO_0[32]
|
|||
-set_location_assignment PIN_K20 -to GPIO_0[33]
|
|||
-set_location_assignment PIN_L19 -to GPIO_0[34]
|
|||
-set_location_assignment PIN_L18 -to GPIO_0[35]
|
|||
-set_location_assignment PIN_H12 -to GPIO_1[0]
|
|||
-set_location_assignment PIN_H13 -to GPIO_1[1]
|
|||
-set_location_assignment PIN_H14 -to GPIO_1[2]
|
|||
-set_location_assignment PIN_G15 -to GPIO_1[3]
|
|||
-set_location_assignment PIN_E14 -to GPIO_1[4]
|
|||
-set_location_assignment PIN_E15 -to GPIO_1[5]
|
|||
-set_location_assignment PIN_F15 -to GPIO_1[6]
|
|||
-set_location_assignment PIN_G16 -to GPIO_1[7]
|
|||
-set_location_assignment PIN_F12 -to GPIO_1[8]
|
|||
-set_location_assignment PIN_F13 -to GPIO_1[9]
|
|||
-set_location_assignment PIN_C14 -to GPIO_1[10]
|
|||
-set_location_assignment PIN_D14 -to GPIO_1[11]
|
|||
-set_location_assignment PIN_D15 -to GPIO_1[12]
|
|||
-set_location_assignment PIN_D16 -to GPIO_1[13]
|
|||
-set_location_assignment PIN_C17 -to GPIO_1[14]
|
|||
-set_location_assignment PIN_C18 -to GPIO_1[15]
|
|||
-set_location_assignment PIN_C19 -to GPIO_1[16]
|
|||
-set_location_assignment PIN_C20 -to GPIO_1[17]
|
|||
-set_location_assignment PIN_D19 -to GPIO_1[18]
|
|||
-set_location_assignment PIN_D20 -to GPIO_1[19]
|
|||
-set_location_assignment PIN_E20 -to GPIO_1[20]
|
|||
-set_location_assignment PIN_F20 -to GPIO_1[21]
|
|||
-set_location_assignment PIN_E19 -to GPIO_1[22]
|
|||
-set_location_assignment PIN_E18 -to GPIO_1[23]
|
|||
-set_location_assignment PIN_G20 -to GPIO_1[24]
|
|||
-set_location_assignment PIN_G18 -to GPIO_1[25]
|
|||
-set_location_assignment PIN_G17 -to GPIO_1[26]
|
|||
-set_location_assignment PIN_H17 -to GPIO_1[27]
|
|||
-set_location_assignment PIN_J15 -to GPIO_1[28]
|
|||
-set_location_assignment PIN_H18 -to GPIO_1[29]
|
|||
-set_location_assignment PIN_N22 -to GPIO_1[30]
|
|||
-set_location_assignment PIN_N21 -to GPIO_1[31]
|
|||
-set_location_assignment PIN_P15 -to GPIO_1[32]
|
|||
-set_location_assignment PIN_N15 -to GPIO_1[33]
|
|||
-set_location_assignment PIN_P17 -to GPIO_1[34]
|
|||
-set_location_assignment PIN_P18 -to GPIO_1[35]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[0]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[1]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[2]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[3]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[4]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[5]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[6]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[7]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[8]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[9]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[10]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[11]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[12]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[13]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[14]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[15]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[16]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[17]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[18]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[19]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[20]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[21]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[22]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[23]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[24]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[25]
|
|||
-set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to GPIO_0[26]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[27]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[28]
|
|||
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[29]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[30]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[31]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[32]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[33]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[34]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[35]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[0]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[1]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[2]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[3]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[4]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[5]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[6]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[7]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[8]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[9]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[10]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[11]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[12]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[13]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[14]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[15]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[16]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[17]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[18]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[19]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[20]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[21]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[22]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[23]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[24]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[25]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[26]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[27]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[28]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[29]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[30]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[31]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[32]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[33]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[34]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[35]
|
|||
-set_location_assignment PIN_L22 -to SW[0]
|
|||
-set_location_assignment PIN_L21 -to SW[1]
|
|||
-set_location_assignment PIN_M22 -to SW[2]
|
|||
-set_location_assignment PIN_V12 -to SW[3]
|
|||
-set_location_assignment PIN_W12 -to SW[4]
|
|||
-set_location_assignment PIN_U12 -to SW[5]
|
|||
-set_location_assignment PIN_U11 -to SW[6]
|
|||
-set_location_assignment PIN_M2 -to SW[7]
|
|||
-set_location_assignment PIN_M1 -to SW[8]
|
|||
-set_location_assignment PIN_L2 -to SW[9]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to SW[0]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to SW[1]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to SW[2]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to SW[3]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to SW[4]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to SW[5]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to SW[6]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to SW[7]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to SW[8]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to SW[9]
|
|||
-set_location_assignment PIN_J2 -to HEX0[0]
|
|||
-set_location_assignment PIN_J1 -to HEX0[1]
|
|||
-set_location_assignment PIN_H2 -to HEX0[2]
|
|||
-set_location_assignment PIN_H1 -to HEX0[3]
|
|||
-set_location_assignment PIN_F2 -to HEX0[4]
|
|||
-set_location_assignment PIN_F1 -to HEX0[5]
|
|||
-set_location_assignment PIN_E2 -to HEX0[6]
|
|||
-set_location_assignment PIN_E1 -to HEX1[0]
|
|||
-set_location_assignment PIN_H6 -to HEX1[1]
|
|||
-set_location_assignment PIN_H5 -to HEX1[2]
|
|||
-set_location_assignment PIN_H4 -to HEX1[3]
|
|||
-set_location_assignment PIN_G3 -to HEX1[4]
|
|||
-set_location_assignment PIN_D2 -to HEX1[5]
|
|||
-set_location_assignment PIN_D1 -to HEX1[6]
|
|||
-set_location_assignment PIN_G5 -to HEX2[0]
|
|||
-set_location_assignment PIN_G6 -to HEX2[1]
|
|||
-set_location_assignment PIN_C2 -to HEX2[2]
|
|||
-set_location_assignment PIN_C1 -to HEX2[3]
|
|||
-set_location_assignment PIN_E3 -to HEX2[4]
|
|||
-set_location_assignment PIN_E4 -to HEX2[5]
|
|||
-set_location_assignment PIN_D3 -to HEX2[6]
|
|||
-set_location_assignment PIN_F4 -to HEX3[0]
|
|||
-set_location_assignment PIN_D5 -to HEX3[1]
|
|||
-set_location_assignment PIN_D6 -to HEX3[2]
|
|||
-set_location_assignment PIN_J4 -to HEX3[3]
|
|||
-set_location_assignment PIN_L8 -to HEX3[4]
|
|||
-set_location_assignment PIN_F3 -to HEX3[5]
|
|||
-set_location_assignment PIN_D4 -to HEX3[6]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[0]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[1]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[2]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[3]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[4]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[5]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[6]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[0]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[1]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[2]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[3]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[4]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[5]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[6]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[0]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[1]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[2]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[3]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[4]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[5]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[6]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[0]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[1]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[2]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[3]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[4]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[5]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[6]
|
|||
-set_location_assignment PIN_R22 -to KEY[0]
|
|||
-set_location_assignment PIN_R21 -to KEY[1]
|
|||
-set_location_assignment PIN_T22 -to KEY[2]
|
|||
-set_location_assignment PIN_T21 -to KEY[3]
|
|||
-set_location_assignment PIN_R20 -to LEDR[0]
|
|||
-set_location_assignment PIN_R19 -to LEDR[1]
|
|||
-set_location_assignment PIN_U19 -to LEDR[2]
|
|||
-set_location_assignment PIN_Y19 -to LEDR[3]
|
|||
-set_location_assignment PIN_T18 -to LEDR[4]
|
|||
-set_location_assignment PIN_V19 -to LEDR[5]
|
|||
-set_location_assignment PIN_Y18 -to LEDR[6]
|
|||
-set_location_assignment PIN_U18 -to LEDR[7]
|
|||
-set_location_assignment PIN_R18 -to LEDR[8]
|
|||
-set_location_assignment PIN_R17 -to LEDR[9]
|
|||
-set_location_assignment PIN_U22 -to LEDG[0]
|
|||
-set_location_assignment PIN_U21 -to LEDG[1]
|
|||
-set_location_assignment PIN_V22 -to LEDG[2]
|
|||
-set_location_assignment PIN_V21 -to LEDG[3]
|
|||
-set_location_assignment PIN_W22 -to LEDG[4]
|
|||
-set_location_assignment PIN_W21 -to LEDG[5]
|
|||
-set_location_assignment PIN_Y22 -to LEDG[6]
|
|||
-set_location_assignment PIN_Y21 -to LEDG[7]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to KEY[0]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to KEY[1]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to KEY[2]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to KEY[3]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[0]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[1]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[2]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[3]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[4]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[5]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[6]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[7]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[8]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[9]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[0]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[1]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[2]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[3]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[4]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[5]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[6]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[7]
|
|||
-set_location_assignment PIN_D12 -to CLOCK_27[0]
|
|||
-set_location_assignment PIN_E12 -to CLOCK_27[1]
|
|||
-set_location_assignment PIN_B12 -to CLOCK_24[0]
|
|||
-set_location_assignment PIN_A12 -to CLOCK_24[1]
|
|||
-set_location_assignment PIN_L1 -to CLOCK_50
|
|||
-set_location_assignment PIN_M21 -to EXT_CLOCK
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to CLOCK_27[1]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to CLOCK_24[0]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to CLOCK_24[1]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to CLOCK_50
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to EXT_CLOCK
|
|||
-set_location_assignment PIN_H15 -to PS2_CLK
|
|||
-set_location_assignment PIN_J14 -to PS2_DAT
|
|||
-set_location_assignment PIN_F14 -to UART_RXD
|
|||
-set_location_assignment PIN_G12 -to UART_TXD
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to PS2_CLK
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to PS2_DAT
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to UART_RXD
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to UART_TXD
|
|||
-set_location_assignment PIN_E8 -to TDI
|
|||
-set_location_assignment PIN_D8 -to TCS
|
|||
-set_location_assignment PIN_C7 -to TCK
|
|||
-set_location_assignment PIN_D7 -to TDO
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to TDI
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to TCS
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to TCK
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to TDO
|
|||
-set_location_assignment PIN_D9 -to VGA_R[0]
|
|||
-set_location_assignment PIN_C9 -to VGA_R[1]
|
|||
-set_location_assignment PIN_A7 -to VGA_R[2]
|
|||
-set_location_assignment PIN_B7 -to VGA_R[3]
|
|||
-set_location_assignment PIN_B8 -to VGA_G[0]
|
|||
-set_location_assignment PIN_C10 -to VGA_G[1]
|
|||
-set_location_assignment PIN_B9 -to VGA_G[2]
|
|||
-set_location_assignment PIN_A8 -to VGA_G[3]
|
|||
-set_location_assignment PIN_A9 -to VGA_B[0]
|
|||
-set_location_assignment PIN_D11 -to VGA_B[1]
|
|||
-set_location_assignment PIN_A10 -to VGA_B[2]
|
|||
-set_location_assignment PIN_B10 -to VGA_B[3]
|
|||
-set_location_assignment PIN_A11 -to VGA_HS
|
|||
-set_location_assignment PIN_B11 -to VGA_VS
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to VGA_R[0]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to VGA_R[1]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to VGA_R[2]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to VGA_R[3]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to VGA_G[0]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to VGA_G[1]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to VGA_G[2]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to VGA_G[3]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to VGA_B[0]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to VGA_B[1]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to VGA_B[2]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to VGA_B[3]
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to VGA_HS
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to VGA_VS
|
|||
-set_location_assignment PIN_A3 -to I2C_SCLK
|
|||
-set_location_assignment PIN_B3 -to I2C_SDAT
|
|||
-set_location_assignment PIN_A6 -to AUD_ADCLRCK
|
|||
-set_location_assignment PIN_B6 -to AUD_ADCDAT
|
|||
-set_location_assignment PIN_A5 -to AUD_DACLRCK
|
|||
-set_location_assignment PIN_B5 -to AUD_DACDAT
|
|||
-set_location_assignment PIN_B4 -to AUD_XCK
|
|||
-set_location_assignment PIN_A4 -to AUD_BCLK
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to I2C_SCLK
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to I2C_SDAT
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to AUD_ADCLRCK
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to AUD_ADCDAT
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to AUD_DACLRCK
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to AUD_DACDAT
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to AUD_XCK
|
|||
-set_instance_assignment -name IO_STANDARD LVTTL -to AUD_BCLK
|
|||
-set_location_assignment PIN_W4 -to DRAM_ADDR[0]
|
|||
-set_location_assignment PIN_W5 -to DRAM_ADDR[1]
|
|||
-set_location_assignment PIN_Y3 -to DRAM_ADDR[2]
|
|||
-set_location_assignment PIN_Y4 -to DRAM_ADDR[3]
|
|||
-set_location_assignment PIN_R6 -to DRAM_ADDR[4]
|
|||
-set_location_assignment PIN_R5 -to DRAM_ADDR[5]
|
|||
-set_location_assignment PIN_P6 -to DRAM_ADDR[6]
|
|||
-set_location_assignment PIN_P5 -to DRAM_ADDR[7]
|
|||
-set_location_assignment PIN_P3 -to DRAM_ADDR[8]
|
|||
-set_location_assignment PIN_N4 -to DRAM_ADDR[9]
|
|||
-set_location_assignment PIN_W3 -to DRAM_ADDR[10]
|
|||
-set_location_assignment PIN_N6 -to DRAM_ADDR[11]
|
|||
-set_location_assignment PIN_U3 -to DRAM_BA_0
|
|||
-set_location_assignment PIN_V4 -to DRAM_BA_1
|
|||
-set_location_assignment PIN_T3 -to DRAM_CAS_N
|
|||
-set_location_assignment PIN_N3 -to DRAM_CKE
|
|||
-set_location_assignment PIN_U4 -to DRAM_CLK
|
|||
-set_location_assignment PIN_T6 -to DRAM_CS_N
|
|||
-set_location_assignment PIN_U1 -to DRAM_DQ[0]
|
|||
-set_location_assignment PIN_U2 -to DRAM_DQ[1]
|
|||
-set_location_assignment PIN_V1 -to DRAM_DQ[2]
|
|||
-set_location_assignment PIN_V2 -to DRAM_DQ[3]
|
|||
-set_location_assignment PIN_W1 -to DRAM_DQ[4]
|
|||
-set_location_assignment PIN_W2 -to DRAM_DQ[5]
|
|||
-set_location_assignment PIN_Y1 -to DRAM_DQ[6]
|
|||
-set_location_assignment PIN_Y2 -to DRAM_DQ[7]
|
|||
-set_location_assignment PIN_N1 -to DRAM_DQ[8]
|
|||
-set_location_assignment PIN_N2 -to DRAM_DQ[9]
|
|||
-set_location_assignment PIN_P1 -to DRAM_DQ[10]
|
|||
-set_location_assignment PIN_P2 -to DRAM_DQ[11]
|
|||
-set_location_assignment PIN_R1 -to DRAM_DQ[12]
|
|||
-set_location_assignment PIN_R2 -to DRAM_DQ[13]
|
|||
-set_location_assignment PIN_T1 -to DRAM_DQ[14]
|
|||
-set_location_assignment PIN_T2 -to DRAM_DQ[15]
|
|||
-set_location_assignment PIN_R7 -to DRAM_LDQM
|
|||
-set_location_assignment PIN_T5 -to DRAM_RAS_N
|
|||
-set_location_assignment PIN_M5 -to DRAM_UDQM
|
|||
-set_location_assignment PIN_R8 -to DRAM_WE_N
|
|||
-set_location_assignment PIN_AB20 -to FL_ADDR[0]
|
|||
-set_location_assignment PIN_AA14 -to FL_ADDR[1]
|
|||
-set_location_assignment PIN_Y16 -to FL_ADDR[2]
|
|||
-set_location_assignment PIN_R15 -to FL_ADDR[3]
|
|||
-set_location_assignment PIN_T15 -to FL_ADDR[4]
|
|||
-set_location_assignment PIN_U15 -to FL_ADDR[5]
|
|||
-set_location_assignment PIN_V15 -to FL_ADDR[6]
|
|||
-set_location_assignment PIN_W15 -to FL_ADDR[7]
|
|||
-set_location_assignment PIN_R14 -to FL_ADDR[8]
|
|||
-set_location_assignment PIN_Y13 -to FL_ADDR[9]
|
|||
-set_location_assignment PIN_R12 -to FL_ADDR[10]
|
|||
-set_location_assignment PIN_T12 -to FL_ADDR[11]
|
|||
-set_location_assignment PIN_AB14 -to FL_ADDR[12]
|
|||
-set_location_assignment PIN_AA13 -to FL_ADDR[13]
|
|||
-set_location_assignment PIN_AB13 -to FL_ADDR[14]
|
|||
-set_location_assignment PIN_AA12 -to FL_ADDR[15]
|
|||
-set_location_assignment PIN_AB12 -to FL_ADDR[16]
|
|||
-set_location_assignment PIN_AA20 -to FL_ADDR[17]
|
|||
-set_location_assignment PIN_U14 -to FL_ADDR[18]
|
|||
-set_location_assignment PIN_V14 -to FL_ADDR[19]
|
|||
-set_location_assignment PIN_U13 -to FL_ADDR[20]
|
|||
-set_location_assignment PIN_R13 -to FL_ADDR[21]
|
|||
-set_location_assignment PIN_AB16 -to FL_DQ[0]
|
|||
-set_location_assignment PIN_AA16 -to FL_DQ[1]
|
|||
-set_location_assignment PIN_AB17 -to FL_DQ[2]
|
|||
-set_location_assignment PIN_AA17 -to FL_DQ[3]
|
|||
-set_location_assignment PIN_AB18 -to FL_DQ[4]
|
|||
-set_location_assignment PIN_AA18 -to FL_DQ[5]
|
|||
-set_location_assignment PIN_AB19 -to FL_DQ[6]
|
|||
-set_location_assignment PIN_AA19 -to FL_DQ[7]
|
|||
-set_location_assignment PIN_AA15 -to FL_OE_N
|
|||
-set_location_assignment PIN_W14 -to FL_RST_N
|
|||
-set_location_assignment PIN_Y14 -to FL_WE_N
|
|||
-set_location_assignment PIN_AA3 -to SRAM_ADDR[0]
|
|||
-set_location_assignment PIN_AB3 -to SRAM_ADDR[1]
|
|||
-set_location_assignment PIN_AA4 -to SRAM_ADDR[2]
|
|||
-set_location_assignment PIN_AB4 -to SRAM_ADDR[3]
|
|||
-set_location_assignment PIN_AA5 -to SRAM_ADDR[4]
|
|||
-set_location_assignment PIN_AB10 -to SRAM_ADDR[5]
|
|||
-set_location_assignment PIN_AA11 -to SRAM_ADDR[6]
|
|||
-set_location_assignment PIN_AB11 -to SRAM_ADDR[7]
|
|||
-set_location_assignment PIN_V11 -to SRAM_ADDR[8]
|
|||
-set_location_assignment PIN_W11 -to SRAM_ADDR[9]
|
|||
-set_location_assignment PIN_R11 -to SRAM_ADDR[10]
|
|||
-set_location_assignment PIN_T11 -to SRAM_ADDR[11]
|
|||
-set_location_assignment PIN_Y10 -to SRAM_ADDR[12]
|
|||
-set_location_assignment PIN_U10 -to SRAM_ADDR[13]
|
|||
-set_location_assignment PIN_R10 -to SRAM_ADDR[14]
|
|||
-set_location_assignment PIN_T7 -to SRAM_ADDR[15]
|
|||
-set_location_assignment PIN_Y6 -to SRAM_ADDR[16]
|
|||
-set_location_assignment PIN_Y5 -to SRAM_ADDR[17]
|
|||
-set_location_assignment PIN_AB5 -to SRAM_CE_N
|
|||
-set_location_assignment PIN_AA6 -to SRAM_DQ[0]
|
|||
-set_location_assignment PIN_AB6 -to SRAM_DQ[1]
|
|||
-set_location_assignment PIN_AA7 -to SRAM_DQ[2]
|
|||
-set_location_assignment PIN_AB7 -to SRAM_DQ[3]
|
|||
-set_location_assignment PIN_AA8 -to SRAM_DQ[4]
|
|||
-set_location_assignment PIN_AB8 -to SRAM_DQ[5]
|
|||
-set_location_assignment PIN_AA9 -to SRAM_DQ[6]
|
|||
-set_location_assignment PIN_AB9 -to SRAM_DQ[7]
|
|||
-set_location_assignment PIN_Y9 -to SRAM_DQ[8]
|
|||
-set_location_assignment PIN_W9 -to SRAM_DQ[9]
|
|||
-set_location_assignment PIN_V9 -to SRAM_DQ[10]
|
|||
-set_location_assignment PIN_U9 -to SRAM_DQ[11]
|
|||
-set_location_assignment PIN_R9 -to SRAM_DQ[12]
|
|||
-set_location_assignment PIN_W8 -to SRAM_DQ[13]
|
|||
-set_location_assignment PIN_V8 -to SRAM_DQ[14]
|
|||
-set_location_assignment PIN_U8 -to SRAM_DQ[15]
|
|||
-set_location_assignment PIN_Y7 -to SRAM_LB_N
|
|||
-set_location_assignment PIN_T8 -to SRAM_OE_N
|
|||
-set_location_assignment PIN_W7 -to SRAM_UB_N
|
|||
-set_location_assignment PIN_AA10 -to SRAM_WE_N
|
|||
-set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
|
|||
-set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
|
|||
-set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
|
|||
+set_location_assignment PIN_135 -to VGA_R[0]
|
|||
+set_location_assignment PIN_134 -to VGA_R[1]
|
|||
+set_location_assignment PIN_133 -to VGA_R[2]
|
|||
+set_location_assignment PIN_132 -to VGA_R[3]
|
|||
+set_location_assignment PIN_141 -to VGA_G[0]
|
|||
+set_location_assignment PIN_142 -to VGA_G[1]
|
|||
+set_location_assignment PIN_143 -to VGA_G[2]
|
|||
+set_location_assignment PIN_144 -to VGA_G[3]
|
|||
+set_location_assignment PIN_4 -to VGA_B[0]
|
|||
+set_location_assignment PIN_7 -to VGA_B[1]
|
|||
+set_location_assignment PIN_10 -to VGA_B[2]
|
|||
+set_location_assignment PIN_11 -to VGA_B[3]
|
|||
+set_location_assignment PIN_136 -to VGA_HS
|
|||
+set_location_assignment PIN_137 -to VGA_VS
|
|||
set_global_assignment -name ENABLE_SIGNALTAP ON
|
|||
-set_location_assignment PIN_V20 -to SD_CLK
|
|||
-set_location_assignment PIN_Y20 -to SD_CMD
|
|||
-set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
|
|||
-set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
|
|||
+set_location_assignment PIN_44 -to SD_CLK
|
|||
+set_location_assignment PIN_46 -to SD_CMD
|
|||
set_global_assignment -name USE_CONFIGURATION_DEVICE ON
|
|||
set_global_assignment -name STRATIX_CONFIGURATION_DEVICE EPCS4
|
|||
set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS OFF
|
|||
set_global_assignment -name BLOCK_DESIGN_NAMING AUTO
|
|||
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
|
|||
set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
|
|||
-set_location_assignment PIN_W20 -to SD_DATA
|
|||
-set_location_assignment PIN_U20 -to SD_THREE
|
|||
set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO"
|
|||
set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "USE AS REGULAR IO"
|
|||
+set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO"
|
|||
+set_global_assignment -name RESERVE_DATA0_AFTER_CONFIGURATION "USE AS REGULAR IO"
|
|||
+set_global_assignment -name RESERVE_DATA1_AFTER_CONFIGURATION "USE AS REGULAR IO"
|
|||
+set_global_assignment -name RESERVE_FLASH_NCE_AFTER_CONFIGURATION "USE AS REGULAR IO"
|
|||
+set_global_assignment -name RESERVE_DCLK_AFTER_CONFIGURATION "USE AS REGULAR IO"
|
|||
set_global_assignment -name SMART_RECOMPILE ON
|
|||
set_global_assignment -name ENABLE_DRC_SETTINGS ON
|
|||
set_global_assignment -name CYCLONEII_OPTIMIZATION_TECHNIQUE SPEED
|
|||
@@ -516,6 +87,104 @@
|
|||
set_global_assignment -name AUTO_PACKED_REGISTERS_STRATIXII NORMAL
|
|||
set_global_assignment -name FITTER_EFFORT "AUTO FIT"
|
|||
set_global_assignment -name OPTIMIZE_HOLD_TIMING "ALL PATHS"
|
|||
+
|
|||
+set_global_assignment -name USE_SIGNALTAP_FILE output_files/stp1.stp
|
|||
+set_location_assignment PIN_28 -to AUDIO_L
|
|||
+set_location_assignment PIN_30 -to AUDIO_R
|
|||
+set_location_assignment PIN_53 -to CPU_RESET_n
|
|||
+set_location_assignment PIN_55 -to FPGA_CLK
|
|||
+set_location_assignment PIN_127 -to JOY1_n[0]
|
|||
+set_location_assignment PIN_91 -to JOY1_n[1]
|
|||
+set_location_assignment PIN_90 -to JOY1_n[2]
|
|||
+set_location_assignment PIN_88 -to JOY1_n[3]
|
|||
+set_location_assignment PIN_89 -to JOY1_n[4]
|
|||
+set_location_assignment PIN_126 -to JOY1_n[5]
|
|||
+set_location_assignment PIN_128 -to JOY2_n[0]
|
|||
+set_location_assignment PIN_25 -to JOY2_n[1]
|
|||
+set_location_assignment PIN_24 -to JOY2_n[2]
|
|||
+set_location_assignment PIN_22 -to JOY2_n[3]
|
|||
+set_location_assignment PIN_23 -to JOY2_n[4]
|
|||
+set_location_assignment PIN_129 -to JOY2_n[5]
|
|||
+set_location_assignment PIN_33 -to PS2K_DAT
|
|||
+set_location_assignment PIN_39 -to PS2K_CLK
|
|||
+set_location_assignment PIN_32 -to PS2M_DAT
|
|||
+set_location_assignment PIN_31 -to PS2M_CLK
|
|||
+set_location_assignment PIN_52 -to SD_DAT0
|
|||
+set_location_assignment PIN_49 -to SD_DAT3
|
|||
+set_location_assignment PIN_42 -to USB_P
|
|||
+set_location_assignment PIN_43 -to USB_N
|
|||
+set_location_assignment PIN_50 -to USB2_P
|
|||
+set_location_assignment PIN_51 -to USB2_N
|
|||
+set_location_assignment PIN_112 -to SDRAM_CLK
|
|||
+set_location_assignment PIN_61 -to SDRAM_A[0]
|
|||
+set_location_assignment PIN_60 -to SDRAM_A[1]
|
|||
+set_location_assignment PIN_59 -to SDRAM_A[2]
|
|||
+set_location_assignment PIN_58 -to SDRAM_A[3]
|
|||
+set_location_assignment PIN_125 -to SDRAM_A[4]
|
|||
+set_location_assignment PIN_121 -to SDRAM_A[5]
|
|||
+set_location_assignment PIN_120 -to SDRAM_A[6]
|
|||
+set_location_assignment PIN_119 -to SDRAM_A[7]
|
|||
+set_location_assignment PIN_115 -to SDRAM_A[8]
|
|||
+set_location_assignment PIN_114 -to SDRAM_A[9]
|
|||
+set_location_assignment PIN_64 -to SDRAM_A[10]
|
|||
+set_location_assignment PIN_113 -to SDRAM_A[11]
|
|||
+set_location_assignment PIN_111 -to SDRAM_A[12]
|
|||
+set_location_assignment PIN_66 -to SDRAM_BA[0]
|
|||
+set_location_assignment PIN_65 -to SDRAM_BA[1]
|
|||
+set_location_assignment PIN_87 -to SDRAM_DQ[0]
|
|||
+set_location_assignment PIN_86 -to SDRAM_DQ[1]
|
|||
+set_location_assignment PIN_85 -to SDRAM_DQ[2]
|
|||
+set_location_assignment PIN_83 -to SDRAM_DQ[3]
|
|||
+set_location_assignment PIN_80 -to SDRAM_DQ[4]
|
|||
+set_location_assignment PIN_79 -to SDRAM_DQ[5]
|
|||
+set_location_assignment PIN_77 -to SDRAM_DQ[6]
|
|||
+set_location_assignment PIN_76 -to SDRAM_DQ[7]
|
|||
+set_location_assignment PIN_106 -to SDRAM_DQ[8]
|
|||
+set_location_assignment PIN_105 -to SDRAM_DQ[9]
|
|||
+set_location_assignment PIN_104 -to SDRAM_DQ[10]
|
|||
+set_location_assignment PIN_103 -to SDRAM_DQ[11]
|
|||
+set_location_assignment PIN_101 -to SDRAM_DQ[12]
|
|||
+set_location_assignment PIN_100 -to SDRAM_DQ[13]
|
|||
+set_location_assignment PIN_99 -to SDRAM_DQ[14]
|
|||
+set_location_assignment PIN_98 -to SDRAM_DQ[15]
|
|||
+set_location_assignment PIN_67 -to SDRAM_CS_n
|
|||
+set_location_assignment PIN_68 -to SDRAM_RAS_n
|
|||
+set_location_assignment PIN_69 -to SDRAM_CAS_n
|
|||
+set_location_assignment PIN_71 -to SDRAM_WE_n
|
|||
+set_location_assignment PIN_6 -to CFG_DOUT
|
|||
+set_location_assignment PIN_8 -to CFG_CS_n
|
|||
+set_location_assignment PIN_12 -to CFG_CLK
|
|||
+set_location_assignment PIN_13 -to CFG_DIN
|
|||
+set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
|
|||
+set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
|
|||
+set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
|
|||
+set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
|
|||
+set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
|
|||
+set_location_assignment PIN_72 -to SDRAM_DQM_n[0]
|
|||
+set_location_assignment PIN_110 -to SDRAM_DQM_n[1]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SDRAM_DQM_n[1]
|
|||
+set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SDRAM_DQM_n[0]
|
|||
+set_global_assignment -name SLD_NODE_CREATOR_ID 110 -section_id auto_signaltap_0
|
|||
+set_global_assignment -name SLD_NODE_ENTITY_NAME sld_signaltap -section_id auto_signaltap_0
|
|||
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_INFO=805334528" -section_id auto_signaltap_0
|
|||
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_POWER_UP_TRIGGER=0" -section_id auto_signaltap_0
|
|||
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STORAGE_QUALIFIER_INVERSION_MASK_LENGTH=0" -section_id auto_signaltap_0
|
|||
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_SEGMENT_SIZE=512" -section_id auto_signaltap_0
|
|||
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ATTRIBUTE_MEM_MODE=OFF" -section_id auto_signaltap_0
|
|||
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STATE_FLOW_USE_GENERATED=0" -section_id auto_signaltap_0
|
|||
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STATE_BITS=11" -section_id auto_signaltap_0
|
|||
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_BUFFER_FULL_STOP=1" -section_id auto_signaltap_0
|
|||
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_CURRENT_RESOURCE_WIDTH=1" -section_id auto_signaltap_0
|
|||
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_LEVEL=1" -section_id auto_signaltap_0
|
|||
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_SAMPLE_DEPTH=512" -section_id auto_signaltap_0
|
|||
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_IN_ENABLED=0" -section_id auto_signaltap_0
|
|||
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ADVANCED_TRIGGER_ENTITY=basic,1," -section_id auto_signaltap_0
|
|||
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_LEVEL_PIPELINE=1" -section_id auto_signaltap_0
|
|||
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ENABLE_ADVANCED_TRIGGER=0" -section_id auto_signaltap_0
|
|||
+set_global_assignment -name VERILOG_FILE sdram_ctrl_4_ports.v
|
|||
+set_global_assignment -name VHDL_FILE romlo.vhd
|
|||
+set_global_assignment -name VHDL_FILE romhi.vhd
|
|||
+set_global_assignment -name VHDL_FILE ramint.vhd
|
|||
set_global_assignment -name VHDL_FILE atari800core.vhd
|
|||
set_global_assignment -name VHDL_FILE spi_master.vhd
|
|||
set_global_assignment -name VHDL_FILE zpu_config_regs.vhdl
|
|||
@@ -526,19 +195,12 @@
|
|||
set_global_assignment -name VHDL_FILE sdram_statemachine.vhdl
|
|||
set_global_assignment -name VHDL_FILE cpu_65xx_e.vhd
|
|||
set_global_assignment -name VHDL_FILE cpu_65xx_a.vhd
|
|||
-set_global_assignment -name VHDL_FILE i2s_intf.vhd
|
|||
-set_global_assignment -name VHDL_FILE i2c_loader.vhd
|
|||
set_global_assignment -name VHDL_FILE cpu.vhd
|
|||
-set_global_assignment -name VHDL_FILE ledsw.vhd
|
|||
-set_global_assignment -name VHDL_FILE hexdecoder.vhd
|
|||
set_global_assignment -name QIP_FILE pll.qip
|
|||
set_global_assignment -name SDC_FILE atari800core.sdc
|
|||
-set_global_assignment -name VHDL_FILE dumprom.vhdl
|
|||
set_global_assignment -name VHDL_FILE reg_file.vhdl
|
|||
set_global_assignment -name VHDL_FILE address_decoder.vhdl
|
|||
-set_global_assignment -name VHDL_FILE i2sslave.vhdl
|
|||
set_global_assignment -name VHDL_FILE pokey.vhdl
|
|||
-set_global_assignment -name VHDL_FILE nmigen.vhdl
|
|||
set_global_assignment -name VHDL_FILE enable_divider.vhdl
|
|||
set_global_assignment -name VHDL_FILE poly_17_9.vhdl
|
|||
set_global_assignment -name VHDL_FILE poly_5.vhdl
|
|||
@@ -548,11 +210,8 @@
|
|||
set_global_assignment -name VHDL_FILE pokey_mixer.vhdl
|
|||
set_global_assignment -name VHDL_FILE pokey_noise_filter.vhdl
|
|||
set_global_assignment -name VHDL_FILE complete_address_decoder.vhdl
|
|||
-set_global_assignment -name VHDL_FILE tvsync.vhdl
|
|||
set_global_assignment -name VHDL_FILE ps2_keyboard.vhdl
|
|||
set_global_assignment -name VHDL_FILE pokey_ps2_decoder.vhdl
|
|||
-set_global_assignment -name VHDL_FILE flashrom.vhdl
|
|||
-set_global_assignment -name VHDL_FILE sram.vhdl
|
|||
set_global_assignment -name VHDL_FILE antic.vhdl
|
|||
set_global_assignment -name VHDL_FILE antic_dma_clock.vhdl
|
|||
set_global_assignment -name VHDL_FILE antic_counter.vhdl
|
|||
@@ -569,18 +228,461 @@
|
|||
set_global_assignment -name VHDL_FILE syncreset_enable_divider.vhd
|
|||
set_global_assignment -name VHDL_FILE wide_delay_line.vhdl
|
|||
set_global_assignment -name VHDL_FILE irq_glue.vhdl
|
|||
-set_global_assignment -name VHDL_FILE gpio.vhd
|
|||
set_global_assignment -name VHDL_FILE pokey_keyboard_scanner.vhdl
|
|||
set_global_assignment -name VHDL_FILE zpu_glue.vhdl
|
|||
set_global_assignment -name QIP_FILE zpu_ram.qip
|
|||
set_global_assignment -name QIP_FILE zpu_rom.qip
|
|||
-set_location_assignment PIN_A13 -to GPIO_0[0]
|
|||
-set_location_assignment PIN_B13 -to GPIO_0[1]
|
|||
-set_location_assignment PIN_A14 -to GPIO_0[2]
|
|||
-set_location_assignment PIN_B14 -to GPIO_0[3]
|
|||
-
|
|||
-set_global_assignment -name USE_SIGNALTAP_FILE output_files/stp1.stp
|
|||
set_global_assignment -name SIGNALTAP_FILE output_files/stp1.stp
|
|||
+set_global_assignment -name VHDL_FILE internalromram.vhd
|
|||
+set_global_assignment -name VHDL_FILE sdram_statemachine_mcc.vhdl
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_clk -to "sdram_statemachine_mcc:b2v_inst20|CLK_SDRAM" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[0] -to "address_decoder:b2v_inst2|SDRAM_REQUEST" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[1] -to "address_decoder:b2v_inst2|SDRAM_REQUEST_COMPLETE" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[0] -to "address_decoder:b2v_inst2|SDRAM_REQUEST" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[1] -to "address_decoder:b2v_inst2|SDRAM_REQUEST_COMPLETE" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[2] -to "address_decoder:b2v_inst2|ZPU_ADDR[0]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[3] -to "address_decoder:b2v_inst2|ZPU_ADDR[10]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[4] -to "address_decoder:b2v_inst2|ZPU_ADDR[11]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[5] -to "address_decoder:b2v_inst2|ZPU_ADDR[12]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[6] -to "address_decoder:b2v_inst2|ZPU_ADDR[13]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[7] -to "address_decoder:b2v_inst2|ZPU_ADDR[14]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[8] -to "address_decoder:b2v_inst2|ZPU_ADDR[15]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[9] -to "address_decoder:b2v_inst2|ZPU_ADDR[16]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[10] -to "address_decoder:b2v_inst2|ZPU_ADDR[17]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[11] -to "address_decoder:b2v_inst2|ZPU_ADDR[18]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[12] -to "address_decoder:b2v_inst2|ZPU_ADDR[19]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[13] -to "address_decoder:b2v_inst2|ZPU_ADDR[1]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[14] -to "address_decoder:b2v_inst2|ZPU_ADDR[20]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[15] -to "address_decoder:b2v_inst2|ZPU_ADDR[21]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[16] -to "address_decoder:b2v_inst2|ZPU_ADDR[22]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[17] -to "address_decoder:b2v_inst2|ZPU_ADDR[23]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[18] -to "address_decoder:b2v_inst2|ZPU_ADDR[2]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[19] -to "address_decoder:b2v_inst2|ZPU_ADDR[3]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[20] -to "address_decoder:b2v_inst2|ZPU_ADDR[4]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[21] -to "address_decoder:b2v_inst2|ZPU_ADDR[5]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[22] -to "address_decoder:b2v_inst2|ZPU_ADDR[6]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[23] -to "address_decoder:b2v_inst2|ZPU_ADDR[7]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[24] -to "address_decoder:b2v_inst2|ZPU_ADDR[8]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[25] -to "address_decoder:b2v_inst2|ZPU_ADDR[9]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[26] -to "address_decoder:b2v_inst2|ZPU_FETCH" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[27] -to "address_decoder:b2v_inst2|state_reg[0]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[28] -to "address_decoder:b2v_inst2|state_reg[1]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[29] -to "sdram_statemachine_mcc:b2v_inst20|ADDRESS_IN[0]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[30] -to "sdram_statemachine_mcc:b2v_inst20|ADDRESS_IN[10]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[31] -to "sdram_statemachine_mcc:b2v_inst20|ADDRESS_IN[11]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[32] -to "sdram_statemachine_mcc:b2v_inst20|ADDRESS_IN[12]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[33] -to "sdram_statemachine_mcc:b2v_inst20|ADDRESS_IN[13]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[34] -to "sdram_statemachine_mcc:b2v_inst20|ADDRESS_IN[14]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[35] -to "sdram_statemachine_mcc:b2v_inst20|ADDRESS_IN[15]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[36] -to "sdram_statemachine_mcc:b2v_inst20|ADDRESS_IN[16]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[37] -to "sdram_statemachine_mcc:b2v_inst20|ADDRESS_IN[17]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[38] -to "sdram_statemachine_mcc:b2v_inst20|ADDRESS_IN[18]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[39] -to "sdram_statemachine_mcc:b2v_inst20|ADDRESS_IN[19]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[40] -to "sdram_statemachine_mcc:b2v_inst20|ADDRESS_IN[1]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[41] -to "sdram_statemachine_mcc:b2v_inst20|ADDRESS_IN[20]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[42] -to "sdram_statemachine_mcc:b2v_inst20|ADDRESS_IN[21]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[43] -to "sdram_statemachine_mcc:b2v_inst20|ADDRESS_IN[22]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[44] -to "sdram_statemachine_mcc:b2v_inst20|ADDRESS_IN[2]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[45] -to "sdram_statemachine_mcc:b2v_inst20|ADDRESS_IN[3]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[46] -to "sdram_statemachine_mcc:b2v_inst20|ADDRESS_IN[4]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[47] -to "sdram_statemachine_mcc:b2v_inst20|ADDRESS_IN[5]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[48] -to "sdram_statemachine_mcc:b2v_inst20|ADDRESS_IN[6]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[49] -to "sdram_statemachine_mcc:b2v_inst20|ADDRESS_IN[7]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[50] -to "sdram_statemachine_mcc:b2v_inst20|ADDRESS_IN[8]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[51] -to "sdram_statemachine_mcc:b2v_inst20|ADDRESS_IN[9]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[52] -to "sdram_statemachine_mcc:b2v_inst20|BYTE_ACCESS" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[53] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[0]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[54] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[10]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[55] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[11]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[56] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[12]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[57] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[13]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[58] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[14]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[59] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[15]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[60] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[16]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[61] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[17]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[62] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[18]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[63] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[19]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[64] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[1]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[65] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[20]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[66] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[21]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[67] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[22]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[68] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[23]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[69] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[24]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[70] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[25]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[71] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[26]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[72] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[27]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[73] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[28]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[74] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[29]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[75] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[2]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[76] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[30]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[77] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[31]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[78] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[3]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[79] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[4]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[80] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[5]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[81] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[6]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[82] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[7]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[83] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[8]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[84] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[9]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[85] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[0]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[86] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[10]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[87] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[11]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[88] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[12]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[89] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[13]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[90] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[14]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[91] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[15]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[92] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[16]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[93] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[17]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[94] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[18]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[95] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[19]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[96] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[1]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[97] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[20]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[98] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[21]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[99] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[22]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[100] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[23]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[101] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[24]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[102] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[25]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[103] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[26]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[104] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[27]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[105] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[28]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[106] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[29]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[107] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[2]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[108] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[30]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[109] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[31]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[110] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[3]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[111] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[4]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[112] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[5]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[113] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[6]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[114] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[7]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[115] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[8]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[116] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[9]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[117] -to "sdram_statemachine_mcc:b2v_inst20|LONGWORD_ACCESS" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[118] -to "sdram_statemachine_mcc:b2v_inst20|READ_EN" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[119] -to "sdram_statemachine_mcc:b2v_inst20|REPLY" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[120] -to "sdram_statemachine_mcc:b2v_inst20|REQUEST" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[2] -to "address_decoder:b2v_inst2|ZPU_ADDR[0]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[3] -to "address_decoder:b2v_inst2|ZPU_ADDR[10]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[4] -to "address_decoder:b2v_inst2|ZPU_ADDR[11]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[5] -to "address_decoder:b2v_inst2|ZPU_ADDR[12]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[6] -to "address_decoder:b2v_inst2|ZPU_ADDR[13]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[7] -to "address_decoder:b2v_inst2|ZPU_ADDR[14]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[8] -to "address_decoder:b2v_inst2|ZPU_ADDR[15]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[9] -to "address_decoder:b2v_inst2|ZPU_ADDR[16]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[10] -to "address_decoder:b2v_inst2|ZPU_ADDR[17]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[11] -to "address_decoder:b2v_inst2|ZPU_ADDR[18]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[12] -to "address_decoder:b2v_inst2|ZPU_ADDR[19]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[13] -to "address_decoder:b2v_inst2|ZPU_ADDR[1]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[14] -to "address_decoder:b2v_inst2|ZPU_ADDR[20]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[15] -to "address_decoder:b2v_inst2|ZPU_ADDR[21]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[16] -to "address_decoder:b2v_inst2|ZPU_ADDR[22]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[17] -to "address_decoder:b2v_inst2|ZPU_ADDR[23]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[18] -to "address_decoder:b2v_inst2|ZPU_ADDR[2]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[19] -to "address_decoder:b2v_inst2|ZPU_ADDR[3]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[20] -to "address_decoder:b2v_inst2|ZPU_ADDR[4]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[21] -to "address_decoder:b2v_inst2|ZPU_ADDR[5]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[22] -to "address_decoder:b2v_inst2|ZPU_ADDR[6]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[23] -to "address_decoder:b2v_inst2|ZPU_ADDR[7]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[24] -to "address_decoder:b2v_inst2|ZPU_ADDR[8]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[25] -to "address_decoder:b2v_inst2|ZPU_ADDR[9]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[26] -to "address_decoder:b2v_inst2|ZPU_FETCH" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[27] -to "address_decoder:b2v_inst2|state_reg[0]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[28] -to "address_decoder:b2v_inst2|state_reg[1]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[29] -to "sdram_statemachine_mcc:b2v_inst20|ADDRESS_IN[0]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[30] -to "sdram_statemachine_mcc:b2v_inst20|ADDRESS_IN[10]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[31] -to "sdram_statemachine_mcc:b2v_inst20|ADDRESS_IN[11]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[32] -to "sdram_statemachine_mcc:b2v_inst20|ADDRESS_IN[12]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[33] -to "sdram_statemachine_mcc:b2v_inst20|ADDRESS_IN[13]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[34] -to "sdram_statemachine_mcc:b2v_inst20|ADDRESS_IN[14]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[35] -to "sdram_statemachine_mcc:b2v_inst20|ADDRESS_IN[15]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[36] -to "sdram_statemachine_mcc:b2v_inst20|ADDRESS_IN[16]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[37] -to "sdram_statemachine_mcc:b2v_inst20|ADDRESS_IN[17]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[38] -to "sdram_statemachine_mcc:b2v_inst20|ADDRESS_IN[18]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[39] -to "sdram_statemachine_mcc:b2v_inst20|ADDRESS_IN[19]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[40] -to "sdram_statemachine_mcc:b2v_inst20|ADDRESS_IN[1]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[41] -to "sdram_statemachine_mcc:b2v_inst20|ADDRESS_IN[20]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[42] -to "sdram_statemachine_mcc:b2v_inst20|ADDRESS_IN[21]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[43] -to "sdram_statemachine_mcc:b2v_inst20|ADDRESS_IN[22]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[44] -to "sdram_statemachine_mcc:b2v_inst20|ADDRESS_IN[2]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[45] -to "sdram_statemachine_mcc:b2v_inst20|ADDRESS_IN[3]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[46] -to "sdram_statemachine_mcc:b2v_inst20|ADDRESS_IN[4]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[47] -to "sdram_statemachine_mcc:b2v_inst20|ADDRESS_IN[5]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[48] -to "sdram_statemachine_mcc:b2v_inst20|ADDRESS_IN[6]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[49] -to "sdram_statemachine_mcc:b2v_inst20|ADDRESS_IN[7]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[50] -to "sdram_statemachine_mcc:b2v_inst20|ADDRESS_IN[8]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[51] -to "sdram_statemachine_mcc:b2v_inst20|ADDRESS_IN[9]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[52] -to "sdram_statemachine_mcc:b2v_inst20|BYTE_ACCESS" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[53] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[0]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[54] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[10]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[55] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[11]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[56] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[12]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[57] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[13]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[58] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[14]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[59] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[15]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[60] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[16]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[61] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[17]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[62] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[18]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[63] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[19]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[64] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[1]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[65] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[20]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[66] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[21]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[67] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[22]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[68] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[23]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[69] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[24]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[70] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[25]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[71] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[26]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[72] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[27]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[73] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[28]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[74] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[29]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[75] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[2]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[76] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[30]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[77] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[31]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[78] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[3]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[79] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[4]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[80] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[5]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[81] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[6]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[82] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[7]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[83] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[8]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[84] -to "sdram_statemachine_mcc:b2v_inst20|DATA_IN[9]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[85] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[0]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[86] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[10]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[87] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[11]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[88] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[12]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[89] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[13]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[90] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[14]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[91] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[15]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[92] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[16]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[93] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[17]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[94] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[18]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[95] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[19]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[96] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[1]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[97] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[20]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[98] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[21]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[99] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[22]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[100] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[23]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[101] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[24]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[102] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[25]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[103] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[26]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[104] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[27]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[105] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[28]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[106] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[29]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[107] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[2]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[108] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[30]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[109] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[31]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[110] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[3]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[111] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[4]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[112] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[5]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[113] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[6]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[114] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[7]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[115] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[8]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[116] -to "sdram_statemachine_mcc:b2v_inst20|DATA_OUT[9]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[117] -to "sdram_statemachine_mcc:b2v_inst20|LONGWORD_ACCESS" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[118] -to "sdram_statemachine_mcc:b2v_inst20|READ_EN" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[119] -to "sdram_statemachine_mcc:b2v_inst20|REPLY" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[120] -to "sdram_statemachine_mcc:b2v_inst20|REQUEST" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[121] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_ADDR[0]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[122] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_ADDR[10]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[123] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_ADDR[11]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[124] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_ADDR[12]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[125] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_ADDR[1]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[126] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_ADDR[2]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[127] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_ADDR[3]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[128] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_ADDR[4]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[129] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_ADDR[5]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[130] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_ADDR[6]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[131] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_ADDR[7]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[132] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_ADDR[8]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[133] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_ADDR[9]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[134] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_BA0" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[135] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_BA1" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[136] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_CAS_N" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[137] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_CS_N" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[138] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_DQ[0]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[139] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_DQ[10]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[140] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_DQ[11]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[141] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_DQ[12]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[142] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_DQ[13]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[143] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_DQ[14]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[144] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_DQ[15]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[145] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_DQ[1]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[146] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_DQ[2]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[147] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_DQ[3]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[148] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_DQ[4]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[149] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_DQ[5]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[150] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_DQ[6]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[151] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_DQ[7]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[152] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_DQ[8]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[153] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_DQ[9]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[154] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_RAS_N" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[155] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_WE_N" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[156] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_ldqm" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[157] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_udqm" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[158] -to "sdram_statemachine_mcc:b2v_inst20|WRITE_EN" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[159] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|addr_b0[10]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[160] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|addr_b0[11]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[161] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|addr_b0[12]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[162] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|addr_b0[13]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[163] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|addr_b0[14]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[164] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|addr_b0[15]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[165] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|addr_b0[16]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[166] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|addr_b0[17]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[167] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|addr_b0[18]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[168] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|addr_b0[19]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[169] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|addr_b0[20]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[170] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|addr_b0[21]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[171] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|addr_b0[22]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[172] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|addr_b0[2]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[173] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|addr_b0[3]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[174] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|addr_b0[4]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[175] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|addr_b0[5]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[176] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|addr_b0[6]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[177] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|addr_b0[7]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[178] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|addr_b0[8]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[179] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|addr_b0[9]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[180] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|bena_b0[0]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[181] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|bena_b0[1]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[182] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|fetch_b0" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[183] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|rdata_b0[0]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[184] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|rdata_b0[10]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[185] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|rdata_b0[11]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[186] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|rdata_b0[12]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[187] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|rdata_b0[13]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[188] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|rdata_b0[14]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[189] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|rdata_b0[15]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[190] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|rdata_b0[1]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[191] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|rdata_b0[2]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[192] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|rdata_b0[3]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[193] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|rdata_b0[4]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[194] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|rdata_b0[5]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[195] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|rdata_b0[6]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[196] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|rdata_b0[7]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[197] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|rdata_b0[8]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[198] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|rdata_b0[9]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[199] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|rden_b0" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[200] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|valid_b0" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[201] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|wdata_b0[0]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[202] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|wdata_b0[10]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[203] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|wdata_b0[11]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[204] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|wdata_b0[12]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[205] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|wdata_b0[13]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[206] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|wdata_b0[14]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[207] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|wdata_b0[15]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[208] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|wdata_b0[1]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[209] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|wdata_b0[2]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[210] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|wdata_b0[3]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[211] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|wdata_b0[4]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[212] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|wdata_b0[5]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[213] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|wdata_b0[6]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[214] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|wdata_b0[7]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[215] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|wdata_b0[8]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[216] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|wdata_b0[9]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[217] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|wren_b0" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[218] -to "sdram_statemachine_mcc:b2v_inst20|state_reg[0]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[219] -to "sdram_statemachine_mcc:b2v_inst20|state_reg[1]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[220] -to "sdram_statemachine_mcc:b2v_inst20|state_reg[2]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[121] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_ADDR[0]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[122] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_ADDR[10]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[123] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_ADDR[11]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[124] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_ADDR[12]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[125] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_ADDR[1]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[126] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_ADDR[2]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[127] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_ADDR[3]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[128] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_ADDR[4]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[129] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_ADDR[5]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[130] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_ADDR[6]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[131] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_ADDR[7]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[132] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_ADDR[8]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[133] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_ADDR[9]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[134] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_BA0" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[135] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_BA1" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[136] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_CAS_N" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[137] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_CS_N" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[138] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_DQ[0]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[139] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_DQ[10]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[140] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_DQ[11]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[141] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_DQ[12]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[142] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_DQ[13]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[143] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_DQ[14]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[144] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_DQ[15]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[145] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_DQ[1]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[146] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_DQ[2]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[147] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_DQ[3]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[148] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_DQ[4]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[149] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_DQ[5]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[150] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_DQ[6]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[151] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_DQ[7]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[152] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_DQ[8]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[153] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_DQ[9]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[154] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_RAS_N" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[155] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_WE_N" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[156] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_ldqm" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[157] -to "sdram_statemachine_mcc:b2v_inst20|SDRAM_udqm" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[158] -to "sdram_statemachine_mcc:b2v_inst20|WRITE_EN" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[159] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|addr_b0[10]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[160] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|addr_b0[11]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[161] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|addr_b0[12]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[162] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|addr_b0[13]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[163] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|addr_b0[14]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[164] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|addr_b0[15]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[165] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|addr_b0[16]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[166] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|addr_b0[17]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[167] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|addr_b0[18]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[168] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|addr_b0[19]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[169] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|addr_b0[20]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[170] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|addr_b0[21]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[171] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|addr_b0[22]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[172] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|addr_b0[2]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[173] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|addr_b0[3]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[174] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|addr_b0[4]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[175] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|addr_b0[5]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[176] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|addr_b0[6]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[177] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|addr_b0[7]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[178] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|addr_b0[8]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[179] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|addr_b0[9]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[180] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|bena_b0[0]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[181] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|bena_b0[1]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[182] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|fetch_b0" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[183] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|rdata_b0[0]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[184] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|rdata_b0[10]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[185] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|rdata_b0[11]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[186] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|rdata_b0[12]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[187] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|rdata_b0[13]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[188] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|rdata_b0[14]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[189] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|rdata_b0[15]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[190] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|rdata_b0[1]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[191] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|rdata_b0[2]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[192] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|rdata_b0[3]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[193] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|rdata_b0[4]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[194] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|rdata_b0[5]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[195] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|rdata_b0[6]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[196] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|rdata_b0[7]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[197] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|rdata_b0[8]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[198] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|rdata_b0[9]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[199] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|rden_b0" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[200] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|valid_b0" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[201] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|wdata_b0[0]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[202] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|wdata_b0[10]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[203] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|wdata_b0[11]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[204] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|wdata_b0[12]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[205] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|wdata_b0[13]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[206] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|wdata_b0[14]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[207] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|wdata_b0[15]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[208] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|wdata_b0[1]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[209] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|wdata_b0[2]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[210] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|wdata_b0[3]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[211] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|wdata_b0[4]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[212] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|wdata_b0[5]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[213] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|wdata_b0[6]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[214] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|wdata_b0[7]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[215] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|wdata_b0[8]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[216] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|wdata_b0[9]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[217] -to "sdram_statemachine_mcc:b2v_inst20|sdram_ctrl:sdram_mcc|wren_b0" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[218] -to "sdram_statemachine_mcc:b2v_inst20|state_reg[0]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[219] -to "sdram_statemachine_mcc:b2v_inst20|state_reg[1]" -section_id auto_signaltap_0
|
|||
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[220] -to "sdram_statemachine_mcc:b2v_inst20|state_reg[2]" -section_id auto_signaltap_0
|
|||
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_DATA_BITS=221" -section_id auto_signaltap_0
|
|||
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_BITS=221" -section_id auto_signaltap_0
|
|||
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK=00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" -section_id auto_signaltap_0
|
|||
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK_LENGTH=686" -section_id auto_signaltap_0
|
|||
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_LOWORD=45961" -section_id auto_signaltap_0
|
|||
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_HIWORD=45082" -section_id auto_signaltap_0
|
|||
-
|
|||
-set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
|
|||
\ No newline at end of file
|
|||
+set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
|
|||
Only in atari800core_v1_20140121_mcc216: atari800core.qsf.bak
|
|||
Binary files atari800core_20140301_de1/atari800core.qws and atari800core_v1_20140121_mcc216/atari800core.qws differ
|
|||
diff -ur atari800core_20140301_de1/atari800core.sdc atari800core_v1_20140121_mcc216/atari800core.sdc
|
|||
--- atari800core_20140301_de1/atari800core.sdc 2014-03-01 12:29:51.000000000 +0000
|
|||
+++ atari800core_v1_20140121_mcc216/atari800core.sdc 2014-01-21 19:27:45.000000000 +0000
|
|||
@@ -1,6 +1,3 @@
|
|||
-create_clock -period 50MHz [get_ports CLOCK_50]
|
|||
-derive_pll_clocks
|
|||
-
|
|||
-set_input_delay -max -clock CLOCK_50 -1.5 [get_ports SRAM_DQ*]
|
|||
-set_input_delay -min -clock CLOCK_50 -1.5 [get_ports SRAM_DQ*]
|
|||
-
|
|||
+create_clock -period 5MHz [get_ports FPGA_CLK]
|
|||
+derive_pll_clocks
|
|||
+derive_clock_uncertainty
|
|||
\ No newline at end of file
|
|||
Only in atari800core_20140301_de1: atari800core.sdc~
|
|||
Only in atari800core_v1_20140121_mcc216: atari800core.sdc.bak
|
|||
diff -ur atari800core_20140301_de1/atari800core.vhd atari800core_v1_20140121_mcc216/atari800core.vhd
|
|||
--- atari800core_20140301_de1/atari800core.vhd 2014-01-20 20:13:33.000000000 +0000
|
|||
+++ atari800core_v1_20140121_mcc216/atari800core.vhd 2014-01-28 20:47:59.000000000 +0000
|
|||
@@ -24,60 +24,39 @@
|
|||
ENTITY atari800core IS
|
|||
PORT
|
|||
(
|
|||
- CLOCK_50 : IN STD_LOGIC;
|
|||
- AUD_BCLK : IN STD_LOGIC;
|
|||
- AUD_DACLRCK : IN STD_LOGIC;
|
|||
- PS2_CLK : IN STD_LOGIC;
|
|||
- PS2_DAT : IN STD_LOGIC;
|
|||
- UART_RXD : IN STD_LOGIC;
|
|||
- SD_DATA : IN STD_LOGIC;
|
|||
- I2C_SCLK : INOUT STD_LOGIC;
|
|||
- I2C_SDAT : INOUT STD_LOGIC;
|
|||
- DRAM_DQ : INOUT STD_LOGIC_VECTOR(15 DOWNTO 0);
|
|||
- FL_DQ : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
|
|||
- GPIO_0 : INOUT STD_LOGIC_VECTOR(35 DOWNTO 0);
|
|||
- GPIO_1 : INOUT STD_LOGIC_VECTOR(35 DOWNTO 0);
|
|||
- KEY : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
|
|||
- SRAM_DQ : INOUT STD_LOGIC_VECTOR(15 DOWNTO 0);
|
|||
- SW : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
|
|||
- AUD_XCK : OUT STD_LOGIC;
|
|||
- AUD_DACDAT : OUT STD_LOGIC;
|
|||
+ FPGA_CLK : IN STD_LOGIC;
|
|||
+ PS2K_CLK : IN STD_LOGIC;
|
|||
+ PS2K_DAT : IN STD_LOGIC;
|
|||
+ PS2M_CLK : IN STD_LOGIC;
|
|||
+ PS2M_DAT : IN STD_LOGIC;
|
|||
+
|
|||
VGA_VS : OUT STD_LOGIC;
|
|||
VGA_HS : OUT STD_LOGIC;
|
|||
- FL_OE_N : OUT STD_LOGIC;
|
|||
- FL_WE_N : OUT STD_LOGIC;
|
|||
- FL_RST_N : OUT STD_LOGIC;
|
|||
- SRAM_CE_N : OUT STD_LOGIC;
|
|||
- SRAM_OE_N : OUT STD_LOGIC;
|
|||
- SRAM_WE_N : OUT STD_LOGIC;
|
|||
- SRAM_LB_N : OUT STD_LOGIC;
|
|||
- SRAM_UB_N : OUT STD_LOGIC;
|
|||
- UART_TXD : OUT STD_LOGIC;
|
|||
- DRAM_BA_0 : OUT STD_LOGIC;
|
|||
- DRAM_BA_1 : OUT STD_LOGIC;
|
|||
- DRAM_CS_N : OUT STD_LOGIC;
|
|||
- DRAM_RAS_N : OUT STD_LOGIC;
|
|||
- DRAM_CAS_N : OUT STD_LOGIC;
|
|||
- DRAM_WE_N : OUT STD_LOGIC;
|
|||
- DRAM_LDQM : OUT STD_LOGIC;
|
|||
- DRAM_UDQM : OUT STD_LOGIC;
|
|||
- DRAM_CLK : OUT STD_LOGIC;
|
|||
- DRAM_CKE : OUT STD_LOGIC;
|
|||
- SD_CLK : OUT STD_LOGIC;
|
|||
- SD_CMD : OUT STD_LOGIC;
|
|||
- SD_THREE : OUT STD_LOGIC;
|
|||
- DRAM_ADDR : OUT STD_LOGIC_VECTOR(11 DOWNTO 0);
|
|||
- FL_ADDR : OUT STD_LOGIC_VECTOR(21 DOWNTO 0);
|
|||
- HEX0 : OUT STD_LOGIC_VECTOR(6 DOWNTO 0);
|
|||
- HEX1 : OUT STD_LOGIC_VECTOR(6 DOWNTO 0);
|
|||
- HEX2 : OUT STD_LOGIC_VECTOR(6 DOWNTO 0);
|
|||
- HEX3 : OUT STD_LOGIC_VECTOR(6 DOWNTO 0);
|
|||
- LEDG : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
|
|||
- LEDR : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
|
|||
- SRAM_ADDR : OUT STD_LOGIC_VECTOR(17 DOWNTO 0);
|
|||
VGA_B : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
|
|||
VGA_G : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
|
|||
- VGA_R : OUT STD_LOGIC_VECTOR(3 DOWNTO 0)
|
|||
+ VGA_R : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
|
|||
+
|
|||
+ JOY1_n : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
|
|||
+ JOY2_n : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
|
|||
+
|
|||
+ AUDIO_L : OUT std_logic;
|
|||
+ AUDIO_R : OUT std_logic;
|
|||
+
|
|||
+ SDRAM_BA : OUT STD_LOGIC_VECTOR(1 downto 0);
|
|||
+ SDRAM_CS_N : OUT STD_LOGIC;
|
|||
+ SDRAM_RAS_N : OUT STD_LOGIC;
|
|||
+ SDRAM_CAS_N : OUT STD_LOGIC;
|
|||
+ SDRAM_WE_N : OUT STD_LOGIC;
|
|||
+ SDRAM_DQM_n : OUT STD_LOGIC_vector(1 downto 0);
|
|||
+ SDRAM_CLK : OUT STD_LOGIC;
|
|||
+ --SDRAM_CKE : OUT STD_LOGIC;
|
|||
+ SDRAM_A : OUT STD_LOGIC_VECTOR(12 DOWNTO 0);
|
|||
+ SDRAM_DQ : INOUT STD_LOGIC_VECTOR(15 DOWNTO 0);
|
|||
+
|
|||
+ SD_DAT0 : IN STD_LOGIC;
|
|||
+ SD_CLK : OUT STD_LOGIC;
|
|||
+ SD_CMD : OUT STD_LOGIC;
|
|||
+ SD_DAT3 : OUT STD_LOGIC
|
|||
);
|
|||
END atari800core;
|
|||
@@ -100,32 +79,35 @@
|
|||
);
|
|||
END COMPONENT;
|
|||
-COMPONENT hexdecoder
|
|||
- PORT(CLK : IN STD_LOGIC;
|
|||
- NUMBER : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
|
|||
- DIGIT : OUT STD_LOGIC_VECTOR(6 DOWNTO 0)
|
|||
- );
|
|||
-END COMPONENT;
|
|||
+component hq_dac
|
|||
+port (
|
|||
+ reset :in std_logic;
|
|||
+ clk :in std_logic;
|
|||
+ clk_ena : in std_logic;
|
|||
+ pcm_in : in std_logic_vector(19 downto 0);
|
|||
+ dac_out : out std_logic
|
|||
+);
|
|||
+end component;
|
|||
-COMPONENT sram
|
|||
- PORT(WREN : IN STD_LOGIC;
|
|||
- clk : IN STD_LOGIC;
|
|||
- reset_n : IN STD_LOGIC;
|
|||
- request : IN STD_LOGIC;
|
|||
- width_16bit : IN STD_LOGIC;
|
|||
- ADDRESS : IN STD_LOGIC_VECTOR(18 DOWNTO 0);
|
|||
- DIN : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
|
|||
- SRAM_DQ : INOUT STD_LOGIC_VECTOR(15 DOWNTO 0);
|
|||
- SRAM_CE_N : OUT STD_LOGIC;
|
|||
- SRAM_OE_N : OUT STD_LOGIC;
|
|||
- SRAM_WE_N : OUT STD_LOGIC;
|
|||
- SRAM_LB_N : OUT STD_LOGIC;
|
|||
- SRAM_UB_N : OUT STD_LOGIC;
|
|||
- complete : OUT STD_LOGIC;
|
|||
- DOUT : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
|
|||
- SRAM_ADDR : OUT STD_LOGIC_VECTOR(17 DOWNTO 0)
|
|||
+component internalromram IS
|
|||
+ PORT(
|
|||
+ clock : IN STD_LOGIC; --system clock
|
|||
+ reset_n : IN STD_LOGIC; --asynchronous reset
|
|||
+
|
|||
+ ROM_ADDR : in STD_LOGIC_VECTOR(21 downto 0);
|
|||
+ ROM_REQUEST_COMPLETE : out STD_LOGIC;
|
|||
+ ROM_REQUEST : in std_logic;
|
|||
+ ROM_DATA : out std_logic_vector(7 downto 0);
|
|||
+
|
|||
+ RAM_ADDR : in STD_LOGIC_VECTOR(18 downto 0);
|
|||
+ RAM_WR_ENABLE : in std_logic;
|
|||
+ RAM_DATA_IN : in STD_LOGIC_VECTOR(7 downto 0);
|
|||
+ RAM_REQUEST_COMPLETE : out STD_LOGIC;
|
|||
+ RAM_REQUEST : in std_logic;
|
|||
+ RAM_DATA : out std_logic_vector(7 downto 0)
|
|||
);
|
|||
-END COMPONENT;
|
|||
+
|
|||
+END component;
|
|||
COMPONENT antic
|
|||
PORT(CLK : IN STD_LOGIC;
|
|||
@@ -306,72 +288,11 @@
|
|||
SYSTEM_RESET : OUT STD_LOGIC;
|
|||
KBCODE : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
|
|||
VIRTUAL_STICKS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
|
|||
- VIRTUAL_TRIGGER : OUT STD_LOGIC_VECTOR(3 DOWNTO 0)
|
|||
+ VIRTUAL_TRIGGER : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
|
|||
+ VIRTUAL_KEYS : out std_logic_vector(3 downto 0)
|
|||
);
|
|||
END COMPONENT;
|
|||
-COMPONENT gpio
|
|||
- PORT(
|
|||
- clk : in std_logic;
|
|||
-
|
|||
- gpio_enable : in std_logic;
|
|||
-
|
|||
- -- pia
|
|||
- porta_in : out std_logic_vector(7 downto 0);
|
|||
- virtual_stick_in : in std_logic_vector(7 downto 0);
|
|||
- porta_out : in std_logic_vector(7 downto 0);
|
|||
- porta_output : in std_logic_vector(7 downto 0);
|
|||
- CA2_DIR_OUT : IN std_logic;
|
|||
- CA2_OUT : IN std_logic;
|
|||
- CA2_IN : OUT STD_LOGIC;
|
|||
- CB2_DIR_OUT : IN std_logic;
|
|||
- CB2_OUT : IN std_logic;
|
|||
- CB2_IN : OUT STD_LOGIC;
|
|||
-
|
|||
- -- gtia
|
|||
- virtual_trig_in : in std_logic_vector(3 downto 0);
|
|||
- trig_in : out std_logic_vector(3 downto 0);
|
|||
-
|
|||
- -- antic
|
|||
- lightpen : out std_logic;
|
|||
-
|
|||
- -- pokey
|
|||
- pot_reset : in std_logic;
|
|||
- pot_in : out std_logic_vector(7 downto 0);
|
|||
- keyboard_scan : in std_logic_vector(5 downto 0);
|
|||
- keyboard_response : out std_logic_vector(1 downto 0);
|
|||
- virtual_keycode : in std_logic_vector(5 downto 0);
|
|||
- virtual_keyheld : in std_logic;
|
|||
- virtual_shift_pressed : in std_logic;
|
|||
- virtual_control_pressed : in std_logic;
|
|||
- virtual_break_pressed : in std_logic;
|
|||
- SIO_IN : OUT STD_LOGIC;
|
|||
- SIO_OUT : IN STD_LOGIC;
|
|||
-
|
|||
- -- cartridge
|
|||
- pbi_addr_out : in std_logic_vector(15 downto 0);
|
|||
- pbi_write_enable : in std_logic;
|
|||
- cart_data_read : out std_logic_vector(7 downto 0);
|
|||
- cart_request : in std_logic;
|
|||
- cart_complete : out std_logic;
|
|||
- cart_data_write : in std_logic_vector(7 downto 0);
|
|||
- rd4 : out std_logic;
|
|||
- rd5 : out std_logic;
|
|||
- s4_n : in std_logic;
|
|||
- s5_n : in std_logic;
|
|||
- cctl_n : in std_logic;
|
|||
-
|
|||
- monitor : in std_logic;
|
|||
-
|
|||
- -- gpio connections
|
|||
- GPIO_0_IN : in std_logic_vector(35 downto 0);
|
|||
- GPIO_0_OUT : out std_logic_vector(35 downto 0);
|
|||
- GPIO_0_DIR_OUT : out std_logic_vector(35 downto 0);
|
|||
- GPIO_1_IN : in std_logic_vector(35 downto 0);
|
|||
- GPIO_1_OUT : out std_logic_vector(35 downto 0);
|
|||
- GPIO_1_DIR_OUT : out std_logic_vector(35 downto 0)
|
|||
- );
|
|||
-END COMPONENT;
|
|||
COMPONENT address_decoder
|
|||
PORT(CLK : IN STD_LOGIC;
|
|||
@@ -391,7 +312,7 @@
|
|||
CART_RD4 : IN STD_LOGIC;
|
|||
CART_RD5 : IN STD_LOGIC;
|
|||
use_sdram : IN STD_LOGIC;
|
|||
- SDRAM_REPLY : IN STD_LOGIC;
|
|||
+ SDRAM_REQUEST_COMPLETE : IN STD_LOGIC;
|
|||
ANTIC_ADDR : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
|
|||
ANTIC_DATA : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
|
|||
CACHE_ANTIC_DATA : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
|
|||
@@ -480,6 +401,50 @@
|
|||
);
|
|||
END COMPONENT;
|
|||
+component sdram_statemachine_mcc IS
|
|||
+generic
|
|||
+(
|
|||
+ ADDRESS_WIDTH : natural := 22;
|
|||
+ ROW_WIDTH : natural := 12;
|
|||
+ AP_BIT : natural := 10;
|
|||
+ COLUMN_WIDTH : natural := 8
|
|||
+);
|
|||
+PORT
|
|||
+(
|
|||
+ CLK_SYSTEM : IN STD_LOGIC;
|
|||
+ CLK_SDRAM : IN STD_LOGIC; -- this is a exact multiple of system clock
|
|||
+ RESET_N : in STD_LOGIC;
|
|||
+
|
|||
+ -- interface as though SRAM - this module can take care of caching/write combining etc etc. For first cut... nothing. TODO: What extra info would help me here?
|
|||
+ DATA_IN : in std_logic_vector(31 downto 0);
|
|||
+ ADDRESS_IN : in std_logic_vector(ADDRESS_WIDTH downto 0); -- 1 extra bit for byte alignment
|
|||
+ READ_EN : in std_logic; -- if no reads pending may be a good time to do a refresh
|
|||
+ WRITE_EN : in std_logic;
|
|||
+ REQUEST : in std_logic; -- Toggle this to issue a new request
|
|||
+ BYTE_ACCESS : in std_logic; -- ldqm/udqm set based on a(0) - if 0=0111, if 1=1011. Data fields valid:7 downto 0.
|
|||
+ WORD_ACCESS : in std_logic; -- ldqm/udqm set based on a(0) - if 0=0011, if 1=1001. Data fields valid:15 downto 0.
|
|||
+ LONGWORD_ACCESS : in std_logic; -- a(0) ignored. lqdm/udqm mask is 0000
|
|||
+ REFRESH : in std_logic;
|
|||
+
|
|||
+ REPLY : out std_logic; -- This matches the request once complete
|
|||
+ DATA_OUT : out std_logic_vector(31 downto 0);
|
|||
+
|
|||
+ -- sdram itself
|
|||
+ SDRAM_ADDR : out std_logic_vector(ROW_WIDTH downto 0);
|
|||
+ SDRAM_DQ : inout std_logic_vector(15 downto 0);
|
|||
+ SDRAM_BA0 : out std_logic;
|
|||
+ SDRAM_BA1 : out std_logic;
|
|||
+
|
|||
+ SDRAM_CS_N : out std_logic;
|
|||
+ SDRAM_RAS_N : out std_logic;
|
|||
+ SDRAM_CAS_N : out std_logic;
|
|||
+ SDRAM_WE_N : out std_logic;
|
|||
+
|
|||
+ SDRAM_ldqm : out std_logic; -- low enable, high disable - for byte addressing - NB, cas latency applies to reads
|
|||
+ SDRAM_udqm : out std_logic
|
|||
+);
|
|||
+END component;
|
|||
+
|
|||
COMPONENT zpu_rom
|
|||
PORT(clock : IN STD_LOGIC;
|
|||
address : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
|
|||
@@ -551,36 +516,6 @@
|
|||
);
|
|||
END COMPONENT;
|
|||
-COMPONENT i2c_loader
|
|||
-GENERIC (device_address : INTEGER;
|
|||
- log2_divider : INTEGER;
|
|||
- num_retries : INTEGER
|
|||
- );
|
|||
- PORT(CLK : IN STD_LOGIC;
|
|||
- nRESET : IN STD_LOGIC;
|
|||
- I2C_SCL : INOUT STD_LOGIC;
|
|||
- I2C_SDA : INOUT STD_LOGIC;
|
|||
- IS_DONE : OUT STD_LOGIC;
|
|||
- IS_ERROR : OUT STD_LOGIC
|
|||
- );
|
|||
-END COMPONENT;
|
|||
-
|
|||
-COMPONENT flashrom
|
|||
- PORT(CLK : IN STD_LOGIC;
|
|||
- RESET_N : IN STD_LOGIC;
|
|||
- REQUEST : IN STD_LOGIC;
|
|||
- ADDRESS : IN STD_LOGIC_VECTOR(21 DOWNTO 0);
|
|||
- FLASH_D : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
|
|||
- FLASH_CE_N : OUT STD_LOGIC;
|
|||
- FLASH_OE_N : OUT STD_LOGIC;
|
|||
- FLASH_WE_N : OUT STD_LOGIC;
|
|||
- FLASH_RESET_N : OUT STD_LOGIC;
|
|||
- COMPLETE : OUT STD_LOGIC;
|
|||
- DOUT : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
|
|||
- FLASH_ADDRESS : OUT STD_LOGIC_VECTOR(21 DOWNTO 0)
|
|||
- );
|
|||
-END COMPONENT;
|
|||
-
|
|||
COMPONENT pll
|
|||
PORT(inclk0 : IN STD_LOGIC;
|
|||
c0 : OUT STD_LOGIC;
|
|||
@@ -590,17 +525,6 @@
|
|||
);
|
|||
END COMPONENT;
|
|||
-COMPONENT i2sslave
|
|||
- PORT(CLK : IN STD_LOGIC;
|
|||
- BCLK : IN STD_LOGIC;
|
|||
- DACLRC : IN STD_LOGIC;
|
|||
- LEFT_IN : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
|
|||
- RIGHT_IN : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
|
|||
- MCLK_2 : OUT STD_LOGIC;
|
|||
- DACDAT : OUT STD_LOGIC
|
|||
- );
|
|||
-END COMPONENT;
|
|||
-
|
|||
COMPONENT gtia
|
|||
PORT(CLK : IN STD_LOGIC;
|
|||
WR_EN : IN STD_LOGIC;
|
|||
@@ -657,6 +581,7 @@
|
|||
);
|
|||
END component;
|
|||
+
|
|||
SIGNAL ANTIC_ADDR : STD_LOGIC_VECTOR(15 DOWNTO 0);
|
|||
SIGNAL ANTIC_AN : STD_LOGIC_VECTOR(2 DOWNTO 0);
|
|||
SIGNAL ANTIC_COLOUR_CLOCK_OUT : STD_LOGIC;
|
|||
@@ -672,7 +597,7 @@
|
|||
SIGNAL AUDIO_LEFT : STD_LOGIC_VECTOR(15 DOWNTO 0);
|
|||
SIGNAL AUDIO_RIGHT : STD_LOGIC_VECTOR(15 DOWNTO 0);
|
|||
SIGNAL BREAK_PRESSED : STD_LOGIC;
|
|||
-SIGNAL CART_CCTL_N : STD_LOGIC;
|
|||
+
|
|||
SIGNAL CART_RD4 : STD_LOGIC;
|
|||
SIGNAL CART_RD5 : STD_LOGIC;
|
|||
SIGNAL CART_REQUEST : STD_LOGIC;
|
|||
@@ -680,6 +605,7 @@
|
|||
SIGNAL CART_ROM_DO : STD_LOGIC_VECTOR(7 DOWNTO 0);
|
|||
SIGNAL CART_S4_n : STD_LOGIC;
|
|||
SIGNAL CART_S5_N : STD_LOGIC;
|
|||
+signal CART_CCTL_N : std_logic;
|
|||
SIGNAL CA2_OUT : STD_LOGIC;
|
|||
SIGNAL CA2_DIR_OUT: STD_LOGIC;
|
|||
SIGNAL CB2_OUT : STD_LOGIC;
|
|||
@@ -770,7 +696,8 @@
|
|||
SIGNAL SDRAM_DO : STD_LOGIC_VECTOR(31 DOWNTO 0);
|
|||
SIGNAL SDRAM_READ_ENABLE : STD_LOGIC;
|
|||
SIGNAL SDRAM_REFRESH : STD_LOGIC;
|
|||
-SIGNAL SDRAM_REPLY : STD_LOGIC;
|
|||
+--SIGNAL SDRAM_REPLY : STD_LOGIC;
|
|||
+SIGNAL SDRAM_REQUEST_COMPLETE : STD_LOGIC;
|
|||
SIGNAL SDRAM_REQUEST : STD_LOGIC;
|
|||
SIGNAL SDRAM_WRITE_ENABLE : STD_LOGIC;
|
|||
SIGNAL SHIFT_PRESSED : STD_LOGIC;
|
|||
@@ -786,6 +713,7 @@
|
|||
SIGNAL VGA : STD_LOGIC;
|
|||
SIGNAL VIRTUAL_STICKS : STD_LOGIC_VECTOR(7 DOWNTO 0);
|
|||
SIGNAL VIRTUAL_TRIGGERS : STD_LOGIC_VECTOR(3 DOWNTO 0);
|
|||
+SIGNAL VIRTUAL_KEYS : STD_LOGIC_VECTOR(3 DOWNTO 0);
|
|||
SIGNAL WIDTH_16BIT_ACCESS : STD_LOGIC;
|
|||
SIGNAL WIDTH_32BIT_ACCESS : STD_LOGIC;
|
|||
SIGNAL WIDTH_8BIT_ACCESS : STD_LOGIC;
|
|||
@@ -822,6 +750,9 @@
|
|||
SIGNAL SYNTHESIZED_WIRE_13 : STD_LOGIC;
|
|||
SIGNAL SYNTHESIZED_WIRE_14 : STD_LOGIC_VECTOR(7 DOWNTO 0);
|
|||
+SIGNAL LEDR_dummy : STD_LOGIC_VECTOR(9 DOWNTO 0);
|
|||
+SIGNAL LEDG_dummy : STD_LOGIC_VECTOR(7 DOWNTO 0);
|
|||
+signal UART_TXD_dummy : std_logic;
|
|||
BEGIN
|
|||
@@ -842,50 +773,7 @@
|
|||
A => CPU_ADDR,
|
|||
DO => CPU_DO);
|
|||
-
|
|||
-b2v_hex0_inst : hexdecoder
|
|||
-PORT MAP(CLK => CLK,
|
|||
- NUMBER => ZPU_HEX(3 DOWNTO 0),
|
|||
- DIGIT => HEX0);
|
|||
-
|
|||
-
|
|||
-b2v_hex0_inst2 : hexdecoder
|
|||
-PORT MAP(CLK => CLK,
|
|||
- NUMBER => ZPU_HEX(7 DOWNTO 4),
|
|||
- DIGIT => HEX1);
|
|||
-
|
|||
-
|
|||
-b2v_hex0_inst3 : hexdecoder
|
|||
-PORT MAP(CLK => CLK,
|
|||
- NUMBER => ZPU_HEX(11 DOWNTO 8),
|
|||
- DIGIT => HEX2);
|
|||
-
|
|||
-
|
|||
-b2v_hex0_inst4 : hexdecoder
|
|||
-PORT MAP(CLK => CLK,
|
|||
- NUMBER => ZPU_HEX(15 DOWNTO 12),
|
|||
- DIGIT => HEX3);
|
|||
-
|
|||
-
|
|||
-b2v_inst : sram
|
|||
-PORT MAP(WREN => RAM_WRITE_ENABLE,
|
|||
- clk => CLK,
|
|||
- reset_n => RESET_N,
|
|||
- request => RAM_REQUEST,
|
|||
- width_16bit => WIDTH_16BIT_ACCESS,
|
|||
- ADDRESS => RAM_ADDR,
|
|||
- DIN => WRITE_DATA(15 DOWNTO 0),
|
|||
- SRAM_DQ => SRAM_DQ,
|
|||
- SRAM_CE_N => SRAM_CE_N,
|
|||
- SRAM_OE_N => SRAM_OE_N,
|
|||
- SRAM_WE_N => SRAM_WE_N,
|
|||
- SRAM_LB_N => SRAM_LB_N,
|
|||
- SRAM_UB_N => SRAM_UB_N,
|
|||
- complete => RAM_REQUEST_COMPLETE,
|
|||
- DOUT => RAM_DO,
|
|||
- SRAM_ADDR => SRAM_ADDR);
|
|||
-
|
|||
-
|
|||
+LIGHTPEN <= '1';
|
|||
b2v_inst1 : antic
|
|||
PORT MAP(CLK => CLK,
|
|||
WR_EN => ANTIC_WRITE_ENABLE,
|
|||
@@ -909,15 +797,6 @@
|
|||
DATA_OUT => ANTIC_DO,
|
|||
dma_address_out => ANTIC_ADDR);
|
|||
-
|
|||
-b2v_inst10 : ledsw
|
|||
-PORT MAP(CLK => CLK,
|
|||
- KEY => KEY,
|
|||
- SW => SW,
|
|||
- SYNC_KEYS => SYNC_KEYS,
|
|||
- SYNC_SWITCHES => SYNC_SWITCHES);
|
|||
-
|
|||
-
|
|||
b2v_inst11 : pokey_mixer
|
|||
PORT MAP(CLK => CLK,
|
|||
GTIA_SOUND => GTIA_SOUND,
|
|||
@@ -927,13 +806,33 @@
|
|||
CHANNEL_3 => SYNTHESIZED_WIRE_3,
|
|||
CHANNEL_ENABLE => "1111",
|
|||
VOLUME_OUT => AUDIO_LEFT);
|
|||
+
|
|||
+dac_left : hq_dac
|
|||
+port map
|
|||
+(
|
|||
+ reset => not(reset_n),
|
|||
+ clk => clk,
|
|||
+ clk_ena => '1',
|
|||
+ pcm_in => audio_left&"0000",
|
|||
+ dac_out => audio_l
|
|||
+);
|
|||
+
|
|||
+dac_right : hq_dac
|
|||
+port map
|
|||
+(
|
|||
+ reset => not(reset_n),
|
|||
+ clk => clk,
|
|||
+ clk_ena => '1',
|
|||
+ pcm_in => audio_right&"0000",
|
|||
+ dac_out => audio_r
|
|||
+);
|
|||
b2v_inst12 : ps2_keyboard
|
|||
PORT MAP(CLK => CLK,
|
|||
RESET_N => RESET_N,
|
|||
- PS2_CLK => PS2_CLK,
|
|||
- PS2_DAT => PS2_DAT,
|
|||
+ PS2_CLK => PS2K_CLK,
|
|||
+ PS2_DAT => PS2K_DAT,
|
|||
KEY_EVENT => SYNTHESIZED_WIRE_8,
|
|||
KEY_EXTENDED => SYNTHESIZED_WIRE_9,
|
|||
KEY_UP => SYNTHESIZED_WIRE_10,
|
|||
@@ -1001,6 +900,9 @@
|
|||
SIO_COMMAND_OUT <= CB2_OUT; -- we generate command frame, use internal rather than from pin
|
|||
-- TODO - sioto gpio!
|
|||
GPIO_PORTB_IN <= PORTB_OUT;
|
|||
+GPIO_CA2_IN <= CA2_OUT;
|
|||
+GPIO_CB2_IN <= CB2_OUT;
|
|||
+GPIO_PORTA_IN <= VIRTUAL_STICKS and (JOY1_n(0)&JOY1_n(1)&JOY1_n(2)&JOY1_n(3)&JOY2_n(0)&JOY2_n(1)&JOY2_n(2)&JOY2_n(3));
|
|||
b2v_inst16 : pia
|
|||
PORT MAP(CLK => CLK,
|
|||
@@ -1058,65 +960,14 @@
|
|||
SYSTEM_RESET => SYSTEM_RESET_REQUEST,
|
|||
KBCODE => KBCODE,
|
|||
VIRTUAL_STICKS => VIRTUAL_STICKS,
|
|||
- VIRTUAL_TRIGGER => VIRTUAL_TRIGGERS);
|
|||
-
|
|||
-gpio0_gen:
|
|||
- for I in 0 to 35 generate
|
|||
- gpio_0(I) <= gpio_0_out(I) when gpio_0_dir_out(I)='1' else 'Z';
|
|||
- end generate gpio0_gen;
|
|||
-
|
|||
-gpio1_gen:
|
|||
- for I in 0 to 35 generate
|
|||
- gpio_1(I) <= gpio_1_out(I) when gpio_1_dir_out(I)='1' else 'Z';
|
|||
- end generate gpio1_gen;
|
|||
-
|
|||
-b2v_inst19 : gpio
|
|||
-PORT MAP(clk => CLK,
|
|||
- gpio_enable => GPIO_ENABLE,
|
|||
- pot_reset => POT_RESET,
|
|||
- virtual_keyheld => KEY_HELD,
|
|||
- virtual_shift_pressed => SHIFT_PRESSED,
|
|||
- virtual_control_pressed => KBCODE(7),
|
|||
- virtual_break_pressed => BREAK_PRESSED,
|
|||
- pbi_write_enable => PBI_WRITE_ENABLE,
|
|||
- cart_request => CART_REQUEST,
|
|||
- s4_n => CART_S4_n,
|
|||
- s5_n => CART_S5_N,
|
|||
- cctl_n => CART_CCTL_N,
|
|||
- cart_data_write => WRITE_DATA(7 DOWNTO 0),
|
|||
- GPIO_0_IN => GPIO_0,
|
|||
- GPIO_0_OUT => GPIO_0_OUT,
|
|||
- GPIO_0_DIR_OUT => GPIO_0_DIR_OUT,
|
|||
- GPIO_1_IN => GPIO_1,
|
|||
- GPIO_1_OUT => GPIO_1_OUT,
|
|||
- GPIO_1_DIR_OUT => GPIO_1_DIR_OUT,
|
|||
- keyboard_scan => KEYBOARD_SCAN,
|
|||
- pbi_addr_out => PBI_ADDR,
|
|||
- porta_out => PORTA_OUT,
|
|||
- porta_output => PORTA_DIR_OUT,
|
|||
- virtual_keycode => KBCODE(5 DOWNTO 0),
|
|||
- virtual_stick_in => VIRTUAL_STICKS,
|
|||
- virtual_trig_in => VIRTUAL_TRIGGERS,
|
|||
- lightpen => LIGHTPEN,
|
|||
- cart_complete => CART_REQUEST_COMPLETE,
|
|||
- rd4 => CART_RD4,
|
|||
- rd5 => CART_RD5,
|
|||
- cart_data_read => CART_ROM_DO,
|
|||
- keyboard_response => KEYBOARD_RESPONSE,
|
|||
- porta_in => GPIO_PORTA_IN,
|
|||
- pot_in => POT_IN,
|
|||
- trig_in => TRIGGERS,
|
|||
- monitor => SIO_DATA_IN, -- i.e. zpu sio out
|
|||
- CA2_DIR_OUT => CA2_DIR_OUT,
|
|||
- CA2_OUT => CA2_OUT,
|
|||
- CA2_IN => GPIO_CA2_IN,
|
|||
- CB2_DIR_OUT => CB2_DIR_OUT,
|
|||
- CB2_OUT => CB2_OUT,
|
|||
- CB2_IN => GPIO_CB2_IN,
|
|||
- SIO_IN => GPIO_SIO_IN,
|
|||
- SIO_OUT => GPIO_SIO_OUT
|
|||
- );
|
|||
+ VIRTUAL_TRIGGER => VIRTUAL_TRIGGERS,
|
|||
+ VIRTUAL_KEYS => VIRTUAL_KEYS);
|
|||
+-- no cart!
|
|||
+CART_RD4 <= '0';
|
|||
+CART_RD5 <= '0';
|
|||
+CART_REQUEST_COMPLETE <= '0';
|
|||
+CART_ROM_DO <= (others=>'0');
|
|||
b2v_inst2 : address_decoder
|
|||
PORT MAP(CLK => CLK,
|
|||
@@ -1136,7 +987,7 @@
|
|||
CART_RD4 => CART_RD4,
|
|||
CART_RD5 => CART_RD5,
|
|||
use_sdram => USE_SDRAM,
|
|||
- SDRAM_REPLY => SDRAM_REPLY,
|
|||
+ SDRAM_REQUEST_COMPLETE => SDRAM_REQUEST_COMPLETE,
|
|||
ANTIC_ADDR => ANTIC_ADDR,
|
|||
ANTIC_DATA => ANTIC_DO,
|
|||
CACHE_ANTIC_DATA => CACHE_ANTIC_DO,
|
|||
@@ -1189,40 +1040,6 @@
|
|||
SDRAM_ADDR => SDRAM_ADDR,
|
|||
WRITE_DATA => WRITE_DATA);
|
|||
-
|
|||
-b2v_inst20 : sdram_statemachine
|
|||
-GENERIC MAP(ADDRESS_WIDTH => 22,
|
|||
- AP_BIT => 10,
|
|||
- COLUMN_WIDTH => 8,
|
|||
- ROW_WIDTH => 12
|
|||
- )
|
|||
-PORT MAP(CLK_SYSTEM => CLK,
|
|||
- CLK_SDRAM => CLK_SDRAM,
|
|||
- RESET_N => RESET_N,
|
|||
- READ_EN => SDRAM_READ_ENABLE,
|
|||
- WRITE_EN => SDRAM_WRITE_ENABLE,
|
|||
- REQUEST => SDRAM_REQUEST,
|
|||
- BYTE_ACCESS => WIDTH_8BIT_ACCESS,
|
|||
- WORD_ACCESS => WIDTH_16BIT_ACCESS,
|
|||
- LONGWORD_ACCESS => WIDTH_32BIT_ACCESS,
|
|||
- REFRESH => SDRAM_REFRESH,
|
|||
- ADDRESS_IN => SDRAM_ADDR,
|
|||
- DATA_IN => WRITE_DATA,
|
|||
- SDRAM_DQ => DRAM_DQ,
|
|||
- REPLY => SDRAM_REPLY,
|
|||
- SDRAM_BA0 => DRAM_BA_0,
|
|||
- SDRAM_BA1 => DRAM_BA_1,
|
|||
- SDRAM_CKE => DRAM_CKE,
|
|||
- SDRAM_CS_N => DRAM_CS_N,
|
|||
- SDRAM_RAS_N => DRAM_RAS_N,
|
|||
- SDRAM_CAS_N => DRAM_CAS_N,
|
|||
- SDRAM_WE_N => DRAM_WE_N,
|
|||
- SDRAM_ldqm => DRAM_LDQM,
|
|||
- SDRAM_udqm => DRAM_UDQM,
|
|||
- DATA_OUT => SDRAM_DO,
|
|||
- SDRAM_ADDR => DRAM_ADDR);
|
|||
-
|
|||
-
|
|||
b2v_inst21 : zpu_rom
|
|||
PORT MAP(clock => CLK,
|
|||
address => ZPU_ADDR_ROM_RAM(13 DOWNTO 2),
|
|||
@@ -1253,23 +1070,24 @@
|
|||
data => ZPU_DO(23 DOWNTO 16),
|
|||
q => ZPU_RAM_DATA(23 DOWNTO 16));
|
|||
-
|
|||
+SYNC_KEYS <= (others=> '0');
|
|||
+SYNC_SWITCHES <= (others=> '1');
|
|||
b2v_inst24 : zpu_config_regs
|
|||
PORT MAP(CLK => CLK,
|
|||
ENABLE_179 => POKEY_ENABLE_179,
|
|||
WR_EN => ZPU_CONFIG_WRITE_ENABLE,
|
|||
- SDCARD_DAT => SD_DATA,
|
|||
+ SDCARD_DAT => SD_DAT0,
|
|||
SIO_COMMAND_OUT => SIO_COMMAND_OUT,
|
|||
SIO_DATA_OUT => SIO_DATA_OUT,
|
|||
PLL_LOCKED => PLL_LOCKED,
|
|||
REQUEST_RESET_ZPU => SYSTEM_RESET_REQUEST,
|
|||
ADDR => ZPU_ADDR_ROM_RAM(6 DOWNTO 2),
|
|||
CPU_DATA_IN => ZPU_DO,
|
|||
- KEY => SYNC_KEYS,
|
|||
+ KEY => VIRTUAL_KEYS, --SYNC_KEYS,
|
|||
SWITCH => SYNC_SWITCHES,
|
|||
SDCARD_CLK => SD_CLK,
|
|||
SDCARD_CMD => SD_CMD,
|
|||
- SDCARD_DAT3 => SD_THREE,
|
|||
+ SDCARD_DAT3 => SD_DAT3,
|
|||
SIO_DATA_IN => SIO_DATA_IN,
|
|||
PAUSE_ZPU => ZPU_PAUSE,
|
|||
PAL => PAL,
|
|||
@@ -1282,8 +1100,8 @@
|
|||
RESET_N => RESET_N,
|
|||
PAUSE_6502 => PAUSE_6502,
|
|||
DATA_OUT => ZPU_CONFIG_DO,
|
|||
- LEDG => LEDG,
|
|||
- LEDR => LEDR,
|
|||
+ LEDG => LEDG_dummy,
|
|||
+ LEDR => LEDR_dummy,
|
|||
RAM_SELECT => RAM_SELECT,
|
|||
ROM_SELECT => ROM_SELECT,
|
|||
THROTTLE_COUNT_6502 => THROTTLE_COUNT_6502,
|
|||
@@ -1314,64 +1132,28 @@
|
|||
q => ZPU_RAM_DATA(15 DOWNTO 8));
|
|||
-b2v_inst3 : i2c_loader
|
|||
-GENERIC MAP(device_address => 26,
|
|||
- log2_divider => 6,
|
|||
- num_retries => 0
|
|||
- )
|
|||
-PORT MAP(CLK => CLK,
|
|||
- nRESET => RESET_N,
|
|||
- I2C_SCL => I2C_SCLK,
|
|||
- I2C_SDA => I2C_SDAT);
|
|||
-
|
|||
-
|
|||
-b2v_inst4 : flashrom
|
|||
-PORT MAP(CLK => CLK,
|
|||
- RESET_N => RESET_N,
|
|||
- REQUEST => ROM_REQUEST,
|
|||
- ADDRESS => ROM_ADDR,
|
|||
- FLASH_D => FL_DQ,
|
|||
- FLASH_OE_N => FL_OE_N,
|
|||
- FLASH_WE_N => FL_WE_N,
|
|||
- FLASH_RESET_N => FL_RST_N,
|
|||
- COMPLETE => ROM_REQUEST_COMPLETE,
|
|||
- DOUT => ROM_DO,
|
|||
- FLASH_ADDRESS => FL_ADDR);
|
|||
-
|
|||
-
|
|||
b2v_inst5 : pll
|
|||
-PORT MAP(inclk0 => CLOCK_50,
|
|||
+PORT MAP(inclk0 => FPGA_CLK,
|
|||
c0 => CLK_SDRAM,
|
|||
c1 => CLK,
|
|||
- c2 => DRAM_CLK,
|
|||
+ c2 => SDRAM_CLK,
|
|||
locked => PLL_LOCKED);
|
|||
-
|
|||
-b2v_inst6 : i2sslave
|
|||
-PORT MAP(CLK => CLK,
|
|||
- BCLK => AUD_BCLK,
|
|||
- DACLRC => AUD_DACLRCK,
|
|||
- LEFT_IN => AUDIO_LEFT,
|
|||
- RIGHT_IN => AUDIO_RIGHT,
|
|||
- MCLK_2 => AUD_XCK,
|
|||
- DACDAT => AUD_DACDAT);
|
|||
-
|
|||
-
|
|||
b2v_inst7 : pokey
|
|||
PORT MAP(CLK => CLK,
|
|||
CPU_MEMORY_READY => MEMORY_READY_CPU,
|
|||
ANTIC_MEMORY_READY => MEMORY_READY_ANTIC,
|
|||
WR_EN => POKEY_WRITE_ENABLE,
|
|||
RESET_N => RESET_N,
|
|||
- SIO_IN1 => UART_RXD,
|
|||
- SIO_IN2 => GPIO_SIO_IN,
|
|||
+ SIO_IN1 => '1',
|
|||
+ SIO_IN2 => '1',
|
|||
SIO_IN3 => SIO_DATA_IN,
|
|||
ADDR => PBI_ADDR(3 DOWNTO 0),
|
|||
DATA_IN => WRITE_DATA(7 DOWNTO 0),
|
|||
keyboard_response => KEYBOARD_RESPONSE,
|
|||
POT_IN => POT_IN,
|
|||
IRQ_N_OUT => POKEY_IRQ,
|
|||
- SIO_OUT1 => UART_TXD,
|
|||
+ SIO_OUT1 => UART_TXD_dummy,
|
|||
SIO_OUT2 => GPIO_SIO_OUT,
|
|||
SIO_OUT3 => SIO_DATA_OUT,
|
|||
POT_RESET => POT_RESET,
|
|||
@@ -1382,6 +1164,27 @@
|
|||
DATA_OUT => POKEY_DO,
|
|||
keyboard_scan => KEYBOARD_SCAN);
|
|||
+ process(keyboard_scan, kbcode, key_held, shift_pressed, break_pressed)
|
|||
+ begin
|
|||
+ keyboard_response <= (others=>'1');
|
|||
+
|
|||
+ if (key_held='1' and kbcode(5 downto 0) = not(keyboard_scan)) then
|
|||
+ keyboard_response(0) <= '0';
|
|||
+ end if;
|
|||
+
|
|||
+ if (keyboard_scan(5 downto 4)="00" and break_pressed = '1') then
|
|||
+ keyboard_response(1) <= '0';
|
|||
+ end if;
|
|||
+
|
|||
+ if (keyboard_scan(5 downto 4)="10" and shift_pressed = '1') then
|
|||
+ keyboard_response(1) <= '0';
|
|||
+ end if;
|
|||
+
|
|||
+ if (keyboard_scan(5 downto 4)="11" and kbcode(7) = '1') then
|
|||
+ keyboard_response(1) <= '0';
|
|||
+ end if;
|
|||
+ end process;
|
|||
+
|
|||
b2v_inst8 : gtia
|
|||
PORT MAP(CLK => CLK,
|
|||
WR_EN => GTIA_WRITE_ENABLE,
|
|||
@@ -1397,10 +1200,12 @@
|
|||
CONSOL_START => CONSOL_START,
|
|||
CONSOL_SELECT => CONSOL_SELECT,
|
|||
CONSOL_OPTION => CONSOL_OPTION,
|
|||
- TRIG0 => TRIGGERS(0),
|
|||
- TRIG1 => TRIGGERS(1),
|
|||
- TRIG2 => TRIGGERS(2),
|
|||
- TRIG3 => TRIGGERS(3),
|
|||
+ TRIG0 => VIRTUAL_TRIGGERS(0) and joy2_n(4), -- TODO - joystick trigger too
|
|||
+ TRIG1 => VIRTUAL_TRIGGERS(1) and joy1_n(4),
|
|||
+ --TRIG0 => VIRTUAL_TRIGGERS(0),
|
|||
+ --TRIG1 => VIRTUAL_TRIGGERS(1),
|
|||
+ TRIG2 => VIRTUAL_TRIGGERS(2),
|
|||
+ TRIG3 => VIRTUAL_TRIGGERS(3),
|
|||
ADDR => PBI_ADDR(4 DOWNTO 0),
|
|||
AN => ANTIC_AN,
|
|||
CPU_DATA_IN => WRITE_DATA(7 DOWNTO 0),
|
|||
@@ -1458,4 +1263,87 @@
|
|||
DATA_OUT => CACHE_ANTIC_DO
|
|||
);
|
|||
+irr : internalromram
|
|||
+ PORT map(
|
|||
+ clock => clk,
|
|||
+ reset_n => reset_n,
|
|||
+
|
|||
+ ROM_ADDR =>rom_addr,
|
|||
+ ROM_REQUEST_COMPLETE => rom_REQUEST_COMPLETE,
|
|||
+ ROM_REQUEST => rom_REQUEST,
|
|||
+ ROM_DATA => rom_DO,
|
|||
+
|
|||
+ RAM_ADDR => ram_addr,
|
|||
+ RAM_WR_ENABLE => ram_WRITE_ENABLE,
|
|||
+ RAM_DATA_IN => wriTE_DATA(7 downto 0),
|
|||
+ RAM_REQUEST_COMPLETE => ram_REQUEST_COMPLETE,
|
|||
+ RAM_REQUEST => ram_REQUEST,
|
|||
+ RAM_DATA => ram_do(7 downto 0)
|
|||
+ );
|
|||
+
|
|||
+--b2v_inst20 : sdram_statemachine
|
|||
+--GENERIC MAP(ADDRESS_WIDTH => 22,
|
|||
+-- AP_BIT => 10,
|
|||
+-- COLUMN_WIDTH => 8,
|
|||
+-- ROW_WIDTH => 12
|
|||
+-- )
|
|||
+--PORT MAP(CLK_SYSTEM => CLK,
|
|||
+-- CLK_SDRAM => CLK_SDRAM,
|
|||
+-- RESET_N => RESET_N,
|
|||
+-- READ_EN => SDRAM_READ_ENABLE,
|
|||
+-- WRITE_EN => SDRAM_WRITE_ENABLE,
|
|||
+-- REQUEST => SDRAM_REQUEST,
|
|||
+-- BYTE_ACCESS => WIDTH_8BIT_ACCESS,
|
|||
+-- WORD_ACCESS => WIDTH_16BIT_ACCESS,
|
|||
+-- LONGWORD_ACCESS => WIDTH_32BIT_ACCESS,
|
|||
+-- REFRESH => SDRAM_REFRESH,
|
|||
+-- ADDRESS_IN => SDRAM_ADDR,
|
|||
+-- DATA_IN => WRITE_DATA,
|
|||
+-- SDRAM_DQ => SDRAM_DQ,
|
|||
+-- REPLY => SDRAM_REPLY,
|
|||
+-- SDRAM_BA0 => SDRAM_BA(0),
|
|||
+-- SDRAM_BA1 => SDRAM_BA(1),
|
|||
+-- SDRAM_CKE => SDRAM_A(12),
|
|||
+-- SDRAM_CS_N => SDRAM_CS_N,
|
|||
+-- SDRAM_RAS_N => SDRAM_RAS_N,
|
|||
+-- SDRAM_CAS_N => SDRAM_CAS_N,
|
|||
+-- SDRAM_WE_N => SDRAM_WE_N,
|
|||
+-- SDRAM_ldqm => SDRAM_DQM_n(0),
|
|||
+-- SDRAM_udqm => SDRAM_DQM_n(1),
|
|||
+-- DATA_OUT => SDRAM_DO,
|
|||
+-- SDRAM_ADDR => SDRAM_A(11 downto 0));
|
|||
+
|
|||
+b2v_inst20 : sdram_statemachine_mcc
|
|||
+GENERIC MAP(ADDRESS_WIDTH => 22,
|
|||
+ AP_BIT => 10,
|
|||
+ COLUMN_WIDTH => 8,
|
|||
+ ROW_WIDTH => 12
|
|||
+ )
|
|||
+PORT MAP(CLK_SYSTEM => CLK,
|
|||
+ CLK_SDRAM => CLK_SDRAM,
|
|||
+ RESET_N => RESET_N,
|
|||
+ READ_EN => SDRAM_READ_ENABLE,
|
|||
+ WRITE_EN => SDRAM_WRITE_ENABLE,
|
|||
+ REQUEST => SDRAM_REQUEST,
|
|||
+ BYTE_ACCESS => WIDTH_8BIT_ACCESS,
|
|||
+ WORD_ACCESS => WIDTH_16BIT_ACCESS,
|
|||
+ LONGWORD_ACCESS => WIDTH_32BIT_ACCESS,
|
|||
+ REFRESH => SDRAM_REFRESH,
|
|||
+ ADDRESS_IN => SDRAM_ADDR,
|
|||
+ DATA_IN => WRITE_DATA,
|
|||
+ SDRAM_DQ => SDRAM_DQ,
|
|||
+ REPLY => SDRAM_REQUEST_COMPLETE,
|
|||
+ SDRAM_BA0 => SDRAM_BA(0),
|
|||
+ SDRAM_BA1 => SDRAM_BA(1),
|
|||
+ --SDRAM_CKE => SDRAM_A(12), -- TODO?
|
|||
+ SDRAM_CS_N => SDRAM_CS_N,
|
|||
+ SDRAM_RAS_N => SDRAM_RAS_N,
|
|||
+ SDRAM_CAS_N => SDRAM_CAS_N,
|
|||
+ SDRAM_WE_N => SDRAM_WE_N,
|
|||
+ SDRAM_ldqm => SDRAM_DQM_n(0),
|
|||
+ SDRAM_udqm => SDRAM_DQM_n(1),
|
|||
+ DATA_OUT => SDRAM_DO,
|
|||
+ SDRAM_ADDR => SDRAM_A(12 downto 0)); -- TODO?
|
|||
+
|
|||
+
|
|||
END bdf_type;
|
|||
\ No newline at end of file
|
|||
Only in atari800core_v1_20140121_mcc216: hq_dac.v
|
|||
Only in atari800core_v1_20140121_mcc216: internalromram.vhd
|
|||
Only in atari800core_v1_20140121_mcc216: internalromram.vhd.bak
|
|||
Only in atari800core_v1_20140121_mcc216: pll.bsf
|
|||
diff -ur atari800core_20140301_de1/pll.cmp atari800core_v1_20140121_mcc216/pll.cmp
|
|||
--- atari800core_20140301_de1/pll.cmp 2014-01-17 20:20:16.000000000 +0000
|
|||
+++ atari800core_v1_20140121_mcc216/pll.cmp 2014-01-26 09:19:13.000000000 +0000
|
|||
@@ -1,25 +1,25 @@
|
|||
---Copyright (C) 1991-2012 Altera Corporation
|
|||
---Your use of Altera Corporation's design tools, logic functions
|
|||
---and other software and tools, and its AMPP partner logic
|
|||
---functions, and any output files from any of the foregoing
|
|||
---(including device programming or simulation files), and any
|
|||
---associated documentation or information are expressly subject
|
|||
---to the terms and conditions of the Altera Program License
|
|||
---Subscription Agreement, Altera MegaCore Function License
|
|||
---Agreement, or other applicable license agreement, including,
|
|||
---without limitation, that your use is for the sole purpose of
|
|||
---programming logic devices manufactured by Altera and sold by
|
|||
---Altera or its authorized distributors. Please refer to the
|
|||
---applicable agreement for further details.
|
|||
-
|
|||
-
|
|||
-component pll
|
|||
- PORT
|
|||
- (
|
|||
- inclk0 : IN STD_LOGIC := '0';
|
|||
- c0 : OUT STD_LOGIC ;
|
|||
- c1 : OUT STD_LOGIC ;
|
|||
- c2 : OUT STD_LOGIC ;
|
|||
- locked : OUT STD_LOGIC
|
|||
- );
|
|||
-end component;
|
|||
+--Copyright (C) 1991-2012 Altera Corporation
|
|||
+--Your use of Altera Corporation's design tools, logic functions
|
|||
+--and other software and tools, and its AMPP partner logic
|
|||
+--functions, and any output files from any of the foregoing
|
|||
+--(including device programming or simulation files), and any
|
|||
+--associated documentation or information are expressly subject
|
|||
+--to the terms and conditions of the Altera Program License
|
|||
+--Subscription Agreement, Altera MegaCore Function License
|
|||
+--Agreement, or other applicable license agreement, including,
|
|||
+--without limitation, that your use is for the sole purpose of
|
|||
+--programming logic devices manufactured by Altera and sold by
|
|||
+--Altera or its authorized distributors. Please refer to the
|
|||
+--applicable agreement for further details.
|
|||
+
|
|||
+
|
|||
+component pll
|
|||
+ PORT
|
|||
+ (
|
|||
+ inclk0 : IN STD_LOGIC := '0';
|
|||
+ c0 : OUT STD_LOGIC ;
|
|||
+ c1 : OUT STD_LOGIC ;
|
|||
+ c2 : OUT STD_LOGIC ;
|
|||
+ locked : OUT STD_LOGIC
|
|||
+ );
|
|||
+end component;
|
|||
Only in atari800core_v1_20140121_mcc216: PLLJ_PLLSPE_INFO.txt
|
|||
diff -ur atari800core_20140301_de1/pll.ppf atari800core_v1_20140121_mcc216/pll.ppf
|
|||
--- atari800core_20140301_de1/pll.ppf 2014-01-17 20:20:16.000000000 +0000
|
|||
+++ atari800core_v1_20140121_mcc216/pll.ppf 2014-01-26 09:19:13.000000000 +0000
|
|||
@@ -1,12 +1,12 @@
|
|||
-<?xml version="1.0" encoding="UTF-8" ?>
|
|||
-<!DOCTYPE pinplan>
|
|||
-<pinplan intended_family="Cyclone II" variation_name="pll" megafunction_name="ALTPLL" specifies="all_ports">
|
|||
-<global>
|
|||
-<pin name="inclk0" direction="input" scope="external" source="clock" />
|
|||
-<pin name="c0" direction="output" scope="external" source="clock" />
|
|||
-<pin name="c1" direction="output" scope="external" source="clock" />
|
|||
-<pin name="c2" direction="output" scope="external" source="clock" />
|
|||
-<pin name="locked" direction="output" scope="external" />
|
|||
-
|
|||
-</global>
|
|||
-</pinplan>
|
|||
+<?xml version="1.0" encoding="UTF-8" ?>
|
|||
+<!DOCTYPE pinplan>
|
|||
+<pinplan intended_family="Cyclone III" variation_name="pll" megafunction_name="ALTPLL" specifies="all_ports">
|
|||
+<global>
|
|||
+<pin name="inclk0" direction="input" scope="external" source="clock" />
|
|||
+<pin name="c0" direction="output" scope="external" source="clock" />
|
|||
+<pin name="c1" direction="output" scope="external" source="clock" />
|
|||
+<pin name="c2" direction="output" scope="external" source="clock" />
|
|||
+<pin name="locked" direction="output" scope="external" />
|
|||
+
|
|||
+</global>
|
|||
+</pinplan>
|
|||
diff -ur atari800core_20140301_de1/pll.qip atari800core_v1_20140121_mcc216/pll.qip
|
|||
--- atari800core_20140301_de1/pll.qip 2014-01-17 20:20:16.000000000 +0000
|
|||
+++ atari800core_v1_20140121_mcc216/pll.qip 2014-01-26 09:19:14.000000000 +0000
|
|||
@@ -1,6 +1,6 @@
|
|||
-set_global_assignment -name IP_TOOL_NAME "ALTPLL"
|
|||
-set_global_assignment -name IP_TOOL_VERSION "12.1"
|
|||
-set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "pll.vhd"]
|
|||
-set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "pll.bsf"]
|
|||
-set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "pll.cmp"]
|
|||
-set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "pll.ppf"]
|
|||
+set_global_assignment -name IP_TOOL_NAME "ALTPLL"
|
|||
+set_global_assignment -name IP_TOOL_VERSION "12.1"
|
|||
+set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "pll.vhd"]
|
|||
+set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "pll.bsf"]
|
|||
+set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "pll.cmp"]
|
|||
+set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "pll.ppf"]
|
|||
diff -ur atari800core_20140301_de1/pll.vhd atari800core_v1_20140121_mcc216/pll.vhd
|
|||
--- atari800core_20140301_de1/pll.vhd 2014-01-17 20:20:16.000000000 +0000
|
|||
+++ atari800core_v1_20140121_mcc216/pll.vhd 2014-01-26 09:19:13.000000000 +0000
|
|||
@@ -1,427 +1,424 @@
|
|||
--- megafunction wizard: %ALTPLL%
|
|||
--- GENERATION: STANDARD
|
|||
--- VERSION: WM1.0
|
|||
--- MODULE: altpll
|
|||
-
|
|||
--- ============================================================
|
|||
--- File Name: pll.vhd
|
|||
--- Megafunction Name(s):
|
|||
--- altpll
|
|||
---
|
|||
--- Simulation Library Files(s):
|
|||
--- altera_mf
|
|||
--- ============================================================
|
|||
--- ************************************************************
|
|||
--- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
|
|||
---
|
|||
--- 12.1 Build 243 01/31/2013 SP 1.33 SJ Web Edition
|
|||
--- ************************************************************
|
|||
-
|
|||
-
|
|||
---Copyright (C) 1991-2012 Altera Corporation
|
|||
---Your use of Altera Corporation's design tools, logic functions
|
|||
---and other software and tools, and its AMPP partner logic
|
|||
---functions, and any output files from any of the foregoing
|
|||
---(including device programming or simulation files), and any
|
|||
---associated documentation or information are expressly subject
|
|||
---to the terms and conditions of the Altera Program License
|
|||
---Subscription Agreement, Altera MegaCore Function License
|
|||
---Agreement, or other applicable license agreement, including,
|
|||
---without limitation, that your use is for the sole purpose of
|
|||
---programming logic devices manufactured by Altera and sold by
|
|||
---Altera or its authorized distributors. Please refer to the
|
|||
---applicable agreement for further details.
|
|||
-
|
|||
-
|
|||
-LIBRARY ieee;
|
|||
-USE ieee.std_logic_1164.all;
|
|||
-
|
|||
-LIBRARY altera_mf;
|
|||
-USE altera_mf.all;
|
|||
-
|
|||
-ENTITY pll IS
|
|||
- PORT
|
|||
- (
|
|||
- inclk0 : IN STD_LOGIC := '0';
|
|||
- c0 : OUT STD_LOGIC ;
|
|||
- c1 : OUT STD_LOGIC ;
|
|||
- c2 : OUT STD_LOGIC ;
|
|||
- locked : OUT STD_LOGIC
|
|||
- );
|
|||
-END pll;
|
|||
-
|
|||
-
|
|||
-ARCHITECTURE SYN OF pll IS
|
|||
-
|
|||
- SIGNAL sub_wire0 : STD_LOGIC_VECTOR (5 DOWNTO 0);
|
|||
- SIGNAL sub_wire1 : STD_LOGIC ;
|
|||
- SIGNAL sub_wire2 : STD_LOGIC ;
|
|||
- SIGNAL sub_wire3 : STD_LOGIC ;
|
|||
- SIGNAL sub_wire4 : STD_LOGIC ;
|
|||
- SIGNAL sub_wire5 : STD_LOGIC ;
|
|||
- SIGNAL sub_wire6 : STD_LOGIC_VECTOR (1 DOWNTO 0);
|
|||
- SIGNAL sub_wire7_bv : BIT_VECTOR (0 DOWNTO 0);
|
|||
- SIGNAL sub_wire7 : STD_LOGIC_VECTOR (0 DOWNTO 0);
|
|||
-
|
|||
-
|
|||
-
|
|||
- COMPONENT altpll
|
|||
- GENERIC (
|
|||
- clk0_divide_by : NATURAL;
|
|||
- clk0_duty_cycle : NATURAL;
|
|||
- clk0_multiply_by : NATURAL;
|
|||
- clk0_phase_shift : STRING;
|
|||
- clk1_divide_by : NATURAL;
|
|||
- clk1_duty_cycle : NATURAL;
|
|||
- clk1_multiply_by : NATURAL;
|
|||
- clk1_phase_shift : STRING;
|
|||
- clk2_divide_by : NATURAL;
|
|||
- clk2_duty_cycle : NATURAL;
|
|||
- clk2_multiply_by : NATURAL;
|
|||
- clk2_phase_shift : STRING;
|
|||
- compensate_clock : STRING;
|
|||
- gate_lock_counter : NATURAL;
|
|||
- gate_lock_signal : STRING;
|
|||
- inclk0_input_frequency : NATURAL;
|
|||
- intended_device_family : STRING;
|
|||
- invalid_lock_multiplier : NATURAL;
|
|||
- lpm_hint : STRING;
|
|||
- lpm_type : STRING;
|
|||
- operation_mode : STRING;
|
|||
- port_activeclock : STRING;
|
|||
- port_areset : STRING;
|
|||
- port_clkbad0 : STRING;
|
|||
- port_clkbad1 : STRING;
|
|||
- port_clkloss : STRING;
|
|||
- port_clkswitch : STRING;
|
|||
- port_configupdate : STRING;
|
|||
- port_fbin : STRING;
|
|||
- port_inclk0 : STRING;
|
|||
- port_inclk1 : STRING;
|
|||
- port_locked : STRING;
|
|||
- port_pfdena : STRING;
|
|||
- port_phasecounterselect : STRING;
|
|||
- port_phasedone : STRING;
|
|||
- port_phasestep : STRING;
|
|||
- port_phaseupdown : STRING;
|
|||
- port_pllena : STRING;
|
|||
- port_scanaclr : STRING;
|
|||
- port_scanclk : STRING;
|
|||
- port_scanclkena : STRING;
|
|||
- port_scandata : STRING;
|
|||
- port_scandataout : STRING;
|
|||
- port_scandone : STRING;
|
|||
- port_scanread : STRING;
|
|||
- port_scanwrite : STRING;
|
|||
- port_clk0 : STRING;
|
|||
- port_clk1 : STRING;
|
|||
- port_clk2 : STRING;
|
|||
- port_clk3 : STRING;
|
|||
- port_clk4 : STRING;
|
|||
- port_clk5 : STRING;
|
|||
- port_clkena0 : STRING;
|
|||
- port_clkena1 : STRING;
|
|||
- port_clkena2 : STRING;
|
|||
- port_clkena3 : STRING;
|
|||
- port_clkena4 : STRING;
|
|||
- port_clkena5 : STRING;
|
|||
- port_extclk0 : STRING;
|
|||
- port_extclk1 : STRING;
|
|||
- port_extclk2 : STRING;
|
|||
- port_extclk3 : STRING;
|
|||
- valid_lock_multiplier : NATURAL
|
|||
- );
|
|||
- PORT (
|
|||
- clk : OUT STD_LOGIC_VECTOR (5 DOWNTO 0);
|
|||
- inclk : IN STD_LOGIC_VECTOR (1 DOWNTO 0);
|
|||
- locked : OUT STD_LOGIC
|
|||
- );
|
|||
- END COMPONENT;
|
|||
-
|
|||
-BEGIN
|
|||
- sub_wire7_bv(0 DOWNTO 0) <= "0";
|
|||
- sub_wire7 <= To_stdlogicvector(sub_wire7_bv);
|
|||
- sub_wire4 <= sub_wire0(2);
|
|||
- sub_wire3 <= sub_wire0(0);
|
|||
- sub_wire1 <= sub_wire0(1);
|
|||
- c1 <= sub_wire1;
|
|||
- locked <= sub_wire2;
|
|||
- c0 <= sub_wire3;
|
|||
- c2 <= sub_wire4;
|
|||
- sub_wire5 <= inclk0;
|
|||
- sub_wire6 <= sub_wire7(0 DOWNTO 0) & sub_wire5;
|
|||
-
|
|||
- altpll_component : altpll
|
|||
- GENERIC MAP (
|
|||
- clk0_divide_by => 10,
|
|||
- clk0_duty_cycle => 50,
|
|||
- clk0_multiply_by => 23,
|
|||
- clk0_phase_shift => "0",
|
|||
- clk1_divide_by => 20,
|
|||
- clk1_duty_cycle => 50,
|
|||
- clk1_multiply_by => 23,
|
|||
- clk1_phase_shift => "0",
|
|||
- clk2_divide_by => 10,
|
|||
- clk2_duty_cycle => 50,
|
|||
- clk2_multiply_by => 23,
|
|||
- clk2_phase_shift => "-1280",
|
|||
- compensate_clock => "CLK0",
|
|||
- gate_lock_counter => 1048575,
|
|||
- gate_lock_signal => "YES",
|
|||
- inclk0_input_frequency => 20000,
|
|||
- intended_device_family => "Cyclone II",
|
|||
- invalid_lock_multiplier => 5,
|
|||
- lpm_hint => "CBX_MODULE_PREFIX=pll",
|
|||
- lpm_type => "altpll",
|
|||
- operation_mode => "NORMAL",
|
|||
- port_activeclock => "PORT_UNUSED",
|
|||
- port_areset => "PORT_UNUSED",
|
|||
- port_clkbad0 => "PORT_UNUSED",
|
|||
- port_clkbad1 => "PORT_UNUSED",
|
|||
- port_clkloss => "PORT_UNUSED",
|
|||
- port_clkswitch => "PORT_UNUSED",
|
|||
- port_configupdate => "PORT_UNUSED",
|
|||
- port_fbin => "PORT_UNUSED",
|
|||
- port_inclk0 => "PORT_USED",
|
|||
- port_inclk1 => "PORT_UNUSED",
|
|||
- port_locked => "PORT_USED",
|
|||
- port_pfdena => "PORT_UNUSED",
|
|||
- port_phasecounterselect => "PORT_UNUSED",
|
|||
- port_phasedone => "PORT_UNUSED",
|
|||
- port_phasestep => "PORT_UNUSED",
|
|||
- port_phaseupdown => "PORT_UNUSED",
|
|||
- port_pllena => "PORT_UNUSED",
|
|||
- port_scanaclr => "PORT_UNUSED",
|
|||
- port_scanclk => "PORT_UNUSED",
|
|||
- port_scanclkena => "PORT_UNUSED",
|
|||
- port_scandata => "PORT_UNUSED",
|
|||
- port_scandataout => "PORT_UNUSED",
|
|||
- port_scandone => "PORT_UNUSED",
|
|||
- port_scanread => "PORT_UNUSED",
|
|||
- port_scanwrite => "PORT_UNUSED",
|
|||
- port_clk0 => "PORT_USED",
|
|||
- port_clk1 => "PORT_USED",
|
|||
- port_clk2 => "PORT_USED",
|
|||
- port_clk3 => "PORT_UNUSED",
|
|||
- port_clk4 => "PORT_UNUSED",
|
|||
- port_clk5 => "PORT_UNUSED",
|
|||
- port_clkena0 => "PORT_UNUSED",
|
|||
- port_clkena1 => "PORT_UNUSED",
|
|||
- port_clkena2 => "PORT_UNUSED",
|
|||
- port_clkena3 => "PORT_UNUSED",
|
|||
- port_clkena4 => "PORT_UNUSED",
|
|||
- port_clkena5 => "PORT_UNUSED",
|
|||
- port_extclk0 => "PORT_UNUSED",
|
|||
- port_extclk1 => "PORT_UNUSED",
|
|||
- port_extclk2 => "PORT_UNUSED",
|
|||
- port_extclk3 => "PORT_UNUSED",
|
|||
- valid_lock_multiplier => 1
|
|||
- )
|
|||
- PORT MAP (
|
|||
- inclk => sub_wire6,
|
|||
- clk => sub_wire0,
|
|||
- locked => sub_wire2
|
|||
- );
|
|||
-
|
|||
-
|
|||
-
|
|||
-END SYN;
|
|||
-
|
|||
--- ============================================================
|
|||
--- CNX file retrieval info
|
|||
--- ============================================================
|
|||
--- Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0"
|
|||
--- Retrieval info: PRIVATE: BANDWIDTH STRING "1.000"
|
|||
--- Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "0"
|
|||
--- Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz"
|
|||
--- Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low"
|
|||
--- Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1"
|
|||
--- Retrieval info: PRIVATE: BANDWIDTH_USE_CUSTOM STRING "0"
|
|||
--- Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0"
|
|||
--- Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0"
|
|||
--- Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0"
|
|||
--- Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "1"
|
|||
--- Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0"
|
|||
--- Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0"
|
|||
--- Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0"
|
|||
--- Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0"
|
|||
--- Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "c0"
|
|||
--- Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "7"
|
|||
--- Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "10"
|
|||
--- Retrieval info: PRIVATE: DIV_FACTOR1 NUMERIC "20"
|
|||
--- Retrieval info: PRIVATE: DIV_FACTOR2 NUMERIC "10"
|
|||
--- Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000"
|
|||
--- Retrieval info: PRIVATE: DUTY_CYCLE1 STRING "50.00000000"
|
|||
--- Retrieval info: PRIVATE: DUTY_CYCLE2 STRING "50.00000000"
|
|||
--- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "115.000000"
|
|||
--- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE1 STRING "57.500000"
|
|||
--- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE2 STRING "115.000000"
|
|||
--- Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0"
|
|||
--- Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0"
|
|||
--- Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1"
|
|||
--- Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "1"
|
|||
--- Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "1"
|
|||
--- Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575"
|
|||
--- Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1"
|
|||
--- Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "50.000"
|
|||
--- Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz"
|
|||
--- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000"
|
|||
--- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1"
|
|||
--- Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1"
|
|||
--- Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz"
|
|||
--- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
|
|||
--- Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1"
|
|||
--- Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "1"
|
|||
--- Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1"
|
|||
--- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available"
|
|||
--- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0"
|
|||
--- Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg"
|
|||
--- Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT1 STRING "ps"
|
|||
--- Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT2 STRING "ps"
|
|||
--- Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any"
|
|||
--- Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0"
|
|||
--- Retrieval info: PRIVATE: MIRROR_CLK1 STRING "0"
|
|||
--- Retrieval info: PRIVATE: MIRROR_CLK2 STRING "0"
|
|||
--- Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "23"
|
|||
--- Retrieval info: PRIVATE: MULT_FACTOR1 NUMERIC "23"
|
|||
--- Retrieval info: PRIVATE: MULT_FACTOR2 NUMERIC "23"
|
|||
--- Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1"
|
|||
--- Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "28.70000000"
|
|||
--- Retrieval info: PRIVATE: OUTPUT_FREQ1 STRING "28.70000000"
|
|||
--- Retrieval info: PRIVATE: OUTPUT_FREQ2 STRING "100.00000000"
|
|||
--- Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "0"
|
|||
--- Retrieval info: PRIVATE: OUTPUT_FREQ_MODE1 STRING "0"
|
|||
--- Retrieval info: PRIVATE: OUTPUT_FREQ_MODE2 STRING "0"
|
|||
--- Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz"
|
|||
--- Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT1 STRING "MHz"
|
|||
--- Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT2 STRING "MHz"
|
|||
--- Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "0"
|
|||
--- Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0"
|
|||
--- Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000"
|
|||
--- Retrieval info: PRIVATE: PHASE_SHIFT1 STRING "0.00000000"
|
|||
--- Retrieval info: PRIVATE: PHASE_SHIFT2 STRING "-1.28000000"
|
|||
--- Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0"
|
|||
--- Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg"
|
|||
--- Retrieval info: PRIVATE: PHASE_SHIFT_UNIT1 STRING "ns"
|
|||
--- Retrieval info: PRIVATE: PHASE_SHIFT_UNIT2 STRING "ns"
|
|||
--- Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0"
|
|||
--- Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "0"
|
|||
--- Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1"
|
|||
--- Retrieval info: PRIVATE: PLL_ENA_CHECK STRING "0"
|
|||
--- Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0"
|
|||
--- Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0"
|
|||
--- Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0"
|
|||
--- Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0"
|
|||
--- Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0"
|
|||
--- Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0"
|
|||
--- Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0"
|
|||
--- Retrieval info: PRIVATE: RECONFIG_FILE STRING "pll.mif"
|
|||
--- Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0"
|
|||
--- Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "0"
|
|||
--- Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "0"
|
|||
--- Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0"
|
|||
--- Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0"
|
|||
--- Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000"
|
|||
--- Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz"
|
|||
--- Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500"
|
|||
--- Retrieval info: PRIVATE: SPREAD_USE STRING "0"
|
|||
--- Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0"
|
|||
--- Retrieval info: PRIVATE: STICKY_CLK0 STRING "1"
|
|||
--- Retrieval info: PRIVATE: STICKY_CLK1 STRING "1"
|
|||
--- Retrieval info: PRIVATE: STICKY_CLK2 STRING "1"
|
|||
--- Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1"
|
|||
--- Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1"
|
|||
--- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
|
|||
--- Retrieval info: PRIVATE: USE_CLK0 STRING "1"
|
|||
--- Retrieval info: PRIVATE: USE_CLK1 STRING "1"
|
|||
--- Retrieval info: PRIVATE: USE_CLK2 STRING "1"
|
|||
--- Retrieval info: PRIVATE: USE_CLKENA0 STRING "0"
|
|||
--- Retrieval info: PRIVATE: USE_CLKENA1 STRING "0"
|
|||
--- Retrieval info: PRIVATE: USE_CLKENA2 STRING "0"
|
|||
--- Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0"
|
|||
--- Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0"
|
|||
--- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
|
|||
--- Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "10"
|
|||
--- Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50"
|
|||
--- Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "23"
|
|||
--- Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0"
|
|||
--- Retrieval info: CONSTANT: CLK1_DIVIDE_BY NUMERIC "20"
|
|||
--- Retrieval info: CONSTANT: CLK1_DUTY_CYCLE NUMERIC "50"
|
|||
--- Retrieval info: CONSTANT: CLK1_MULTIPLY_BY NUMERIC "23"
|
|||
--- Retrieval info: CONSTANT: CLK1_PHASE_SHIFT STRING "0"
|
|||
--- Retrieval info: CONSTANT: CLK2_DIVIDE_BY NUMERIC "10"
|
|||
--- Retrieval info: CONSTANT: CLK2_DUTY_CYCLE NUMERIC "50"
|
|||
--- Retrieval info: CONSTANT: CLK2_MULTIPLY_BY NUMERIC "23"
|
|||
--- Retrieval info: CONSTANT: CLK2_PHASE_SHIFT STRING "-1280"
|
|||
--- Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0"
|
|||
--- Retrieval info: CONSTANT: GATE_LOCK_COUNTER NUMERIC "1048575"
|
|||
--- Retrieval info: CONSTANT: GATE_LOCK_SIGNAL STRING "YES"
|
|||
--- Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "20000"
|
|||
--- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
|
|||
--- Retrieval info: CONSTANT: INVALID_LOCK_MULTIPLIER NUMERIC "5"
|
|||
--- Retrieval info: CONSTANT: LPM_TYPE STRING "altpll"
|
|||
--- Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL"
|
|||
--- Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED"
|
|||
--- Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_UNUSED"
|
|||
--- Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED"
|
|||
--- Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED"
|
|||
--- Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED"
|
|||
--- Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED"
|
|||
--- Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED"
|
|||
--- Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED"
|
|||
--- Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED"
|
|||
--- Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED"
|
|||
--- Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_USED"
|
|||
--- Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED"
|
|||
--- Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED"
|
|||
--- Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED"
|
|||
--- Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED"
|
|||
--- Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED"
|
|||
--- Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED"
|
|||
--- Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED"
|
|||
--- Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED"
|
|||
--- Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED"
|
|||
--- Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED"
|
|||
--- Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED"
|
|||
--- Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED"
|
|||
--- Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED"
|
|||
--- Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED"
|
|||
--- Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED"
|
|||
--- Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_USED"
|
|||
--- Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_USED"
|
|||
--- Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED"
|
|||
--- Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED"
|
|||
--- Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED"
|
|||
--- Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED"
|
|||
--- Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED"
|
|||
--- Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED"
|
|||
--- Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED"
|
|||
--- Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED"
|
|||
--- Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED"
|
|||
--- Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED"
|
|||
--- Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED"
|
|||
--- Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED"
|
|||
--- Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED"
|
|||
--- Retrieval info: CONSTANT: VALID_LOCK_MULTIPLIER NUMERIC "1"
|
|||
--- Retrieval info: USED_PORT: @clk 0 0 6 0 OUTPUT_CLK_EXT VCC "@clk[5..0]"
|
|||
--- Retrieval info: USED_PORT: @extclk 0 0 4 0 OUTPUT_CLK_EXT VCC "@extclk[3..0]"
|
|||
--- Retrieval info: USED_PORT: @inclk 0 0 2 0 INPUT_CLK_EXT VCC "@inclk[1..0]"
|
|||
--- Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0"
|
|||
--- Retrieval info: USED_PORT: c1 0 0 0 0 OUTPUT_CLK_EXT VCC "c1"
|
|||
--- Retrieval info: USED_PORT: c2 0 0 0 0 OUTPUT_CLK_EXT VCC "c2"
|
|||
--- Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0"
|
|||
--- Retrieval info: USED_PORT: locked 0 0 0 0 OUTPUT GND "locked"
|
|||
--- Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0
|
|||
--- Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0
|
|||
--- Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0
|
|||
--- Retrieval info: CONNECT: c1 0 0 0 0 @clk 0 0 1 1
|
|||
--- Retrieval info: CONNECT: c2 0 0 0 0 @clk 0 0 1 2
|
|||
--- Retrieval info: CONNECT: locked 0 0 0 0 @locked 0 0 0 0
|
|||
--- Retrieval info: GEN_FILE: TYPE_NORMAL pll.vhd TRUE
|
|||
--- Retrieval info: GEN_FILE: TYPE_NORMAL pll.ppf TRUE
|
|||
--- Retrieval info: GEN_FILE: TYPE_NORMAL pll.inc FALSE
|
|||
--- Retrieval info: GEN_FILE: TYPE_NORMAL pll.cmp TRUE
|
|||
--- Retrieval info: GEN_FILE: TYPE_NORMAL pll.bsf TRUE
|
|||
--- Retrieval info: GEN_FILE: TYPE_NORMAL pll_inst.vhd FALSE
|
|||
--- Retrieval info: LIB_FILE: altera_mf
|
|||
--- Retrieval info: CBX_MODULE_PREFIX: ON
|
|||
+-- megafunction wizard: %ALTPLL%
|
|||
+-- GENERATION: STANDARD
|
|||
+-- VERSION: WM1.0
|
|||
+-- MODULE: altpll
|
|||
+
|
|||
+-- ============================================================
|
|||
+-- File Name: pll.vhd
|
|||
+-- Megafunction Name(s):
|
|||
+-- altpll
|
|||
+--
|
|||
+-- Simulation Library Files(s):
|
|||
+-- altera_mf
|
|||
+-- ============================================================
|
|||
+-- ************************************************************
|
|||
+-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
|
|||
+--
|
|||
+-- 12.1 Build 243 01/31/2013 SP 1.33 SJ Web Edition
|
|||
+-- ************************************************************
|
|||
+
|
|||
+
|
|||
+--Copyright (C) 1991-2012 Altera Corporation
|
|||
+--Your use of Altera Corporation's design tools, logic functions
|
|||
+--and other software and tools, and its AMPP partner logic
|
|||
+--functions, and any output files from any of the foregoing
|
|||
+--(including device programming or simulation files), and any
|
|||
+--associated documentation or information are expressly subject
|
|||
+--to the terms and conditions of the Altera Program License
|
|||
+--Subscription Agreement, Altera MegaCore Function License
|
|||
+--Agreement, or other applicable license agreement, including,
|
|||
+--without limitation, that your use is for the sole purpose of
|
|||
+--programming logic devices manufactured by Altera and sold by
|
|||
+--Altera or its authorized distributors. Please refer to the
|
|||
+--applicable agreement for further details.
|
|||
+
|
|||
+
|
|||
+LIBRARY ieee;
|
|||
+USE ieee.std_logic_1164.all;
|
|||
+
|
|||
+LIBRARY altera_mf;
|
|||
+USE altera_mf.all;
|
|||
+
|
|||
+ENTITY pll IS
|
|||
+ PORT
|
|||
+ (
|
|||
+ inclk0 : IN STD_LOGIC := '0';
|
|||
+ c0 : OUT STD_LOGIC ;
|
|||
+ c1 : OUT STD_LOGIC ;
|
|||
+ c2 : OUT STD_LOGIC ;
|
|||
+ locked : OUT STD_LOGIC
|
|||
+ );
|
|||
+END pll;
|
|||
+
|
|||
+
|
|||
+ARCHITECTURE SYN OF pll IS
|
|||
+
|
|||
+ SIGNAL sub_wire0 : STD_LOGIC_VECTOR (4 DOWNTO 0);
|
|||
+ SIGNAL sub_wire1 : STD_LOGIC ;
|
|||
+ SIGNAL sub_wire2 : STD_LOGIC ;
|
|||
+ SIGNAL sub_wire3 : STD_LOGIC ;
|
|||
+ SIGNAL sub_wire4 : STD_LOGIC ;
|
|||
+ SIGNAL sub_wire5 : STD_LOGIC ;
|
|||
+ SIGNAL sub_wire6 : STD_LOGIC_VECTOR (1 DOWNTO 0);
|
|||
+ SIGNAL sub_wire7_bv : BIT_VECTOR (0 DOWNTO 0);
|
|||
+ SIGNAL sub_wire7 : STD_LOGIC_VECTOR (0 DOWNTO 0);
|
|||
+
|
|||
+
|
|||
+
|
|||
+ COMPONENT altpll
|
|||
+ GENERIC (
|
|||
+ bandwidth_type : STRING;
|
|||
+ clk0_divide_by : NATURAL;
|
|||
+ clk0_duty_cycle : NATURAL;
|
|||
+ clk0_multiply_by : NATURAL;
|
|||
+ clk0_phase_shift : STRING;
|
|||
+ clk1_divide_by : NATURAL;
|
|||
+ clk1_duty_cycle : NATURAL;
|
|||
+ clk1_multiply_by : NATURAL;
|
|||
+ clk1_phase_shift : STRING;
|
|||
+ clk2_divide_by : NATURAL;
|
|||
+ clk2_duty_cycle : NATURAL;
|
|||
+ clk2_multiply_by : NATURAL;
|
|||
+ clk2_phase_shift : STRING;
|
|||
+ compensate_clock : STRING;
|
|||
+ inclk0_input_frequency : NATURAL;
|
|||
+ intended_device_family : STRING;
|
|||
+ lpm_hint : STRING;
|
|||
+ lpm_type : STRING;
|
|||
+ operation_mode : STRING;
|
|||
+ pll_type : STRING;
|
|||
+ port_activeclock : STRING;
|
|||
+ port_areset : STRING;
|
|||
+ port_clkbad0 : STRING;
|
|||
+ port_clkbad1 : STRING;
|
|||
+ port_clkloss : STRING;
|
|||
+ port_clkswitch : STRING;
|
|||
+ port_configupdate : STRING;
|
|||
+ port_fbin : STRING;
|
|||
+ port_inclk0 : STRING;
|
|||
+ port_inclk1 : STRING;
|
|||
+ port_locked : STRING;
|
|||
+ port_pfdena : STRING;
|
|||
+ port_phasecounterselect : STRING;
|
|||
+ port_phasedone : STRING;
|
|||
+ port_phasestep : STRING;
|
|||
+ port_phaseupdown : STRING;
|
|||
+ port_pllena : STRING;
|
|||
+ port_scanaclr : STRING;
|
|||
+ port_scanclk : STRING;
|
|||
+ port_scanclkena : STRING;
|
|||
+ port_scandata : STRING;
|
|||
+ port_scandataout : STRING;
|
|||
+ port_scandone : STRING;
|
|||
+ port_scanread : STRING;
|
|||
+ port_scanwrite : STRING;
|
|||
+ port_clk0 : STRING;
|
|||
+ port_clk1 : STRING;
|
|||
+ port_clk2 : STRING;
|
|||
+ port_clk3 : STRING;
|
|||
+ port_clk4 : STRING;
|
|||
+ port_clk5 : STRING;
|
|||
+ port_clkena0 : STRING;
|
|||
+ port_clkena1 : STRING;
|
|||
+ port_clkena2 : STRING;
|
|||
+ port_clkena3 : STRING;
|
|||
+ port_clkena4 : STRING;
|
|||
+ port_clkena5 : STRING;
|
|||
+ port_extclk0 : STRING;
|
|||
+ port_extclk1 : STRING;
|
|||
+ port_extclk2 : STRING;
|
|||
+ port_extclk3 : STRING;
|
|||
+ self_reset_on_loss_lock : STRING;
|
|||
+ width_clock : NATURAL
|
|||
+ );
|
|||
+ PORT (
|
|||
+ clk : OUT STD_LOGIC_VECTOR (4 DOWNTO 0);
|
|||
+ inclk : IN STD_LOGIC_VECTOR (1 DOWNTO 0);
|
|||
+ locked : OUT STD_LOGIC
|
|||
+ );
|
|||
+ END COMPONENT;
|
|||
+
|
|||
+BEGIN
|
|||
+ sub_wire7_bv(0 DOWNTO 0) <= "0";
|
|||
+ sub_wire7 <= To_stdlogicvector(sub_wire7_bv);
|
|||
+ sub_wire4 <= sub_wire0(2);
|
|||
+ sub_wire3 <= sub_wire0(0);
|
|||
+ sub_wire1 <= sub_wire0(1);
|
|||
+ c1 <= sub_wire1;
|
|||
+ locked <= sub_wire2;
|
|||
+ c0 <= sub_wire3;
|
|||
+ c2 <= sub_wire4;
|
|||
+ sub_wire5 <= inclk0;
|
|||
+ sub_wire6 <= sub_wire7(0 DOWNTO 0) & sub_wire5;
|
|||
+
|
|||
+ altpll_component : altpll
|
|||
+ GENERIC MAP (
|
|||
+ bandwidth_type => "AUTO",
|
|||
+ clk0_divide_by => 2,
|
|||
+ clk0_duty_cycle => 50,
|
|||
+ clk0_multiply_by => 23,
|
|||
+ clk0_phase_shift => "0",
|
|||
+ clk1_divide_by => 2,
|
|||
+ clk1_duty_cycle => 50,
|
|||
+ clk1_multiply_by => 23,
|
|||
+ clk1_phase_shift => "0",
|
|||
+ clk2_divide_by => 2,
|
|||
+ clk2_duty_cycle => 50,
|
|||
+ clk2_multiply_by => 23,
|
|||
+ clk2_phase_shift => "0",
|
|||
+ compensate_clock => "CLK0",
|
|||
+ inclk0_input_frequency => 200000,
|
|||
+ intended_device_family => "Cyclone III",
|
|||
+ lpm_hint => "CBX_MODULE_PREFIX=pll",
|
|||
+ lpm_type => "altpll",
|
|||
+ operation_mode => "NORMAL",
|
|||
+ pll_type => "AUTO",
|
|||
+ port_activeclock => "PORT_UNUSED",
|
|||
+ port_areset => "PORT_UNUSED",
|
|||
+ port_clkbad0 => "PORT_UNUSED",
|
|||
+ port_clkbad1 => "PORT_UNUSED",
|
|||
+ port_clkloss => "PORT_UNUSED",
|
|||
+ port_clkswitch => "PORT_UNUSED",
|
|||
+ port_configupdate => "PORT_UNUSED",
|
|||
+ port_fbin => "PORT_UNUSED",
|
|||
+ port_inclk0 => "PORT_USED",
|
|||
+ port_inclk1 => "PORT_UNUSED",
|
|||
+ port_locked => "PORT_USED",
|
|||
+ port_pfdena => "PORT_UNUSED",
|
|||
+ port_phasecounterselect => "PORT_UNUSED",
|
|||
+ port_phasedone => "PORT_UNUSED",
|
|||
+ port_phasestep => "PORT_UNUSED",
|
|||
+ port_phaseupdown => "PORT_UNUSED",
|
|||
+ port_pllena => "PORT_UNUSED",
|
|||
+ port_scanaclr => "PORT_UNUSED",
|
|||
+ port_scanclk => "PORT_UNUSED",
|
|||
+ port_scanclkena => "PORT_UNUSED",
|
|||
+ port_scandata => "PORT_UNUSED",
|
|||
+ port_scandataout => "PORT_UNUSED",
|
|||
+ port_scandone => "PORT_UNUSED",
|
|||
+ port_scanread => "PORT_UNUSED",
|
|||
+ port_scanwrite => "PORT_UNUSED",
|
|||
+ port_clk0 => "PORT_USED",
|
|||
+ port_clk1 => "PORT_USED",
|
|||
+ port_clk2 => "PORT_USED",
|
|||
+ port_clk3 => "PORT_UNUSED",
|
|||
+ port_clk4 => "PORT_UNUSED",
|
|||
+ port_clk5 => "PORT_UNUSED",
|
|||
+ port_clkena0 => "PORT_UNUSED",
|
|||
+ port_clkena1 => "PORT_UNUSED",
|
|||
+ port_clkena2 => "PORT_UNUSED",
|
|||
+ port_clkena3 => "PORT_UNUSED",
|
|||
+ port_clkena4 => "PORT_UNUSED",
|
|||
+ port_clkena5 => "PORT_UNUSED",
|
|||
+ port_extclk0 => "PORT_UNUSED",
|
|||
+ port_extclk1 => "PORT_UNUSED",
|
|||
+ port_extclk2 => "PORT_UNUSED",
|
|||
+ port_extclk3 => "PORT_UNUSED",
|
|||
+ self_reset_on_loss_lock => "OFF",
|
|||
+ width_clock => 5
|
|||
+ )
|
|||
+ PORT MAP (
|
|||
+ inclk => sub_wire6,
|
|||
+ clk => sub_wire0,
|
|||
+ locked => sub_wire2
|
|||
+ );
|
|||
+
|
|||
+
|
|||
+
|
|||
+END SYN;
|
|||
+
|
|||
+-- ============================================================
|
|||
+-- CNX file retrieval info
|
|||
+-- ============================================================
|
|||
+-- Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0"
|
|||
+-- Retrieval info: PRIVATE: BANDWIDTH STRING "1.000"
|
|||
+-- Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "1"
|
|||
+-- Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz"
|
|||
+-- Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low"
|
|||
+-- Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1"
|
|||
+-- Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0"
|
|||
+-- Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0"
|
|||
+-- Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0"
|
|||
+-- Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "1"
|
|||
+-- Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0"
|
|||
+-- Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0"
|
|||
+-- Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0"
|
|||
+-- Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0"
|
|||
+-- Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "c0"
|
|||
+-- Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "8"
|
|||
+-- Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "20"
|
|||
+-- Retrieval info: PRIVATE: DIV_FACTOR1 NUMERIC "20"
|
|||
+-- Retrieval info: PRIVATE: DIV_FACTOR2 NUMERIC "20"
|
|||
+-- Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000"
|
|||
+-- Retrieval info: PRIVATE: DUTY_CYCLE1 STRING "50.00000000"
|
|||
+-- Retrieval info: PRIVATE: DUTY_CYCLE2 STRING "50.00000000"
|
|||
+-- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "57.500000"
|
|||
+-- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE1 STRING "57.500000"
|
|||
+-- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE2 STRING "57.500000"
|
|||
+-- Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0"
|
|||
+-- Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0"
|
|||
+-- Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1"
|
|||
+-- Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "0"
|
|||
+-- Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "1"
|
|||
+-- Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575"
|
|||
+-- Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1"
|
|||
+-- Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "5.000"
|
|||
+-- Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz"
|
|||
+-- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000"
|
|||
+-- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1"
|
|||
+-- Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1"
|
|||
+-- Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz"
|
|||
+-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone III"
|
|||
+-- Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1"
|
|||
+-- Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "1"
|
|||
+-- Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1"
|
|||
+-- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available"
|
|||
+-- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0"
|
|||
+-- Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg"
|
|||
+-- Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT1 STRING "ps"
|
|||
+-- Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT2 STRING "ps"
|
|||
+-- Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any"
|
|||
+-- Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0"
|
|||
+-- Retrieval info: PRIVATE: MIRROR_CLK1 STRING "0"
|
|||
+-- Retrieval info: PRIVATE: MIRROR_CLK2 STRING "0"
|
|||
+-- Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "230"
|
|||
+-- Retrieval info: PRIVATE: MULT_FACTOR1 NUMERIC "230"
|
|||
+-- Retrieval info: PRIVATE: MULT_FACTOR2 NUMERIC "230"
|
|||
+-- Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1"
|
|||
+-- Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "28.70000000"
|
|||
+-- Retrieval info: PRIVATE: OUTPUT_FREQ1 STRING "28.70000000"
|
|||
+-- Retrieval info: PRIVATE: OUTPUT_FREQ2 STRING "100.00000000"
|
|||
+-- Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "0"
|
|||
+-- Retrieval info: PRIVATE: OUTPUT_FREQ_MODE1 STRING "0"
|
|||
+-- Retrieval info: PRIVATE: OUTPUT_FREQ_MODE2 STRING "0"
|
|||
+-- Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz"
|
|||
+-- Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT1 STRING "MHz"
|
|||
+-- Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT2 STRING "MHz"
|
|||
+-- Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "1"
|
|||
+-- Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0"
|
|||
+-- Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000"
|
|||
+-- Retrieval info: PRIVATE: PHASE_SHIFT1 STRING "0.00000000"
|
|||
+-- Retrieval info: PRIVATE: PHASE_SHIFT2 STRING "0.00000000"
|
|||
+-- Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0"
|
|||
+-- Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg"
|
|||
+-- Retrieval info: PRIVATE: PHASE_SHIFT_UNIT1 STRING "ns"
|
|||
+-- Retrieval info: PRIVATE: PHASE_SHIFT_UNIT2 STRING "ns"
|
|||
+-- Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0"
|
|||
+-- Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "0"
|
|||
+-- Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1"
|
|||
+-- Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0"
|
|||
+-- Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0"
|
|||
+-- Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0"
|
|||
+-- Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0"
|
|||
+-- Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0"
|
|||
+-- Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0"
|
|||
+-- Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0"
|
|||
+-- Retrieval info: PRIVATE: RECONFIG_FILE STRING "pll.mif"
|
|||
+-- Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0"
|
|||
+-- Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "1"
|
|||
+-- Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "0"
|
|||
+-- Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0"
|
|||
+-- Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0"
|
|||
+-- Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000"
|
|||
+-- Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz"
|
|||
+-- Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500"
|
|||
+-- Retrieval info: PRIVATE: SPREAD_USE STRING "0"
|
|||
+-- Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0"
|
|||
+-- Retrieval info: PRIVATE: STICKY_CLK0 STRING "1"
|
|||
+-- Retrieval info: PRIVATE: STICKY_CLK1 STRING "1"
|
|||
+-- Retrieval info: PRIVATE: STICKY_CLK2 STRING "1"
|
|||
+-- Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1"
|
|||
+-- Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1"
|
|||
+-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
|
|||
+-- Retrieval info: PRIVATE: USE_CLK0 STRING "1"
|
|||
+-- Retrieval info: PRIVATE: USE_CLK1 STRING "1"
|
|||
+-- Retrieval info: PRIVATE: USE_CLK2 STRING "1"
|
|||
+-- Retrieval info: PRIVATE: USE_CLKENA0 STRING "0"
|
|||
+-- Retrieval info: PRIVATE: USE_CLKENA1 STRING "0"
|
|||
+-- Retrieval info: PRIVATE: USE_CLKENA2 STRING "0"
|
|||
+-- Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0"
|
|||
+-- Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0"
|
|||
+-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
|
|||
+-- Retrieval info: CONSTANT: BANDWIDTH_TYPE STRING "AUTO"
|
|||
+-- Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "2"
|
|||
+-- Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50"
|
|||
+-- Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "23"
|
|||
+-- Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0"
|
|||
+-- Retrieval info: CONSTANT: CLK1_DIVIDE_BY NUMERIC "2"
|
|||
+-- Retrieval info: CONSTANT: CLK1_DUTY_CYCLE NUMERIC "50"
|
|||
+-- Retrieval info: CONSTANT: CLK1_MULTIPLY_BY NUMERIC "23"
|
|||
+-- Retrieval info: CONSTANT: CLK1_PHASE_SHIFT STRING "0"
|
|||
+-- Retrieval info: CONSTANT: CLK2_DIVIDE_BY NUMERIC "2"
|
|||
+-- Retrieval info: CONSTANT: CLK2_DUTY_CYCLE NUMERIC "50"
|
|||
+-- Retrieval info: CONSTANT: CLK2_MULTIPLY_BY NUMERIC "23"
|
|||
+-- Retrieval info: CONSTANT: CLK2_PHASE_SHIFT STRING "0"
|
|||
+-- Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0"
|
|||
+-- Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "200000"
|
|||
+-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone III"
|
|||
+-- Retrieval info: CONSTANT: LPM_TYPE STRING "altpll"
|
|||
+-- Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL"
|
|||
+-- Retrieval info: CONSTANT: PLL_TYPE STRING "AUTO"
|
|||
+-- Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED"
|
|||
+-- Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_UNUSED"
|
|||
+-- Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED"
|
|||
+-- Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED"
|
|||
+-- Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED"
|
|||
+-- Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED"
|
|||
+-- Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED"
|
|||
+-- Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED"
|
|||
+-- Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED"
|
|||
+-- Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED"
|
|||
+-- Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_USED"
|
|||
+-- Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED"
|
|||
+-- Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED"
|
|||
+-- Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED"
|
|||
+-- Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED"
|
|||
+-- Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED"
|
|||
+-- Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED"
|
|||
+-- Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED"
|
|||
+-- Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED"
|
|||
+-- Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED"
|
|||
+-- Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED"
|
|||
+-- Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED"
|
|||
+-- Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED"
|
|||
+-- Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED"
|
|||
+-- Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED"
|
|||
+-- Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED"
|
|||
+-- Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_USED"
|
|||
+-- Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_USED"
|
|||
+-- Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED"
|
|||
+-- Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED"
|
|||
+-- Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED"
|
|||
+-- Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED"
|
|||
+-- Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED"
|
|||
+-- Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED"
|
|||
+-- Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED"
|
|||
+-- Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED"
|
|||
+-- Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED"
|
|||
+-- Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED"
|
|||
+-- Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED"
|
|||
+-- Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED"
|
|||
+-- Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED"
|
|||
+-- Retrieval info: CONSTANT: SELF_RESET_ON_LOSS_LOCK STRING "OFF"
|
|||
+-- Retrieval info: CONSTANT: WIDTH_CLOCK NUMERIC "5"
|
|||
+-- Retrieval info: USED_PORT: @clk 0 0 5 0 OUTPUT_CLK_EXT VCC "@clk[4..0]"
|
|||
+-- Retrieval info: USED_PORT: @inclk 0 0 2 0 INPUT_CLK_EXT VCC "@inclk[1..0]"
|
|||
+-- Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0"
|
|||
+-- Retrieval info: USED_PORT: c1 0 0 0 0 OUTPUT_CLK_EXT VCC "c1"
|
|||
+-- Retrieval info: USED_PORT: c2 0 0 0 0 OUTPUT_CLK_EXT VCC "c2"
|
|||
+-- Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0"
|
|||
+-- Retrieval info: USED_PORT: locked 0 0 0 0 OUTPUT GND "locked"
|
|||
+-- Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0
|
|||
+-- Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0
|
|||
+-- Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0
|
|||
+-- Retrieval info: CONNECT: c1 0 0 0 0 @clk 0 0 1 1
|
|||
+-- Retrieval info: CONNECT: c2 0 0 0 0 @clk 0 0 1 2
|
|||
+-- Retrieval info: CONNECT: locked 0 0 0 0 @locked 0 0 0 0
|
|||
+-- Retrieval info: GEN_FILE: TYPE_NORMAL pll.vhd TRUE
|
|||
+-- Retrieval info: GEN_FILE: TYPE_NORMAL pll.ppf TRUE
|
|||
+-- Retrieval info: GEN_FILE: TYPE_NORMAL pll.inc FALSE
|
|||
+-- Retrieval info: GEN_FILE: TYPE_NORMAL pll.cmp TRUE
|
|||
+-- Retrieval info: GEN_FILE: TYPE_NORMAL pll.bsf TRUE
|
|||
+-- Retrieval info: GEN_FILE: TYPE_NORMAL pll_inst.vhd FALSE
|
|||
+-- Retrieval info: LIB_FILE: altera_mf
|
|||
+-- Retrieval info: CBX_MODULE_PREFIX: ON
|
|||
Only in atari800core_v1_20140121_mcc216: pll.vhd.bak
|
|||
diff -ur atari800core_20140301_de1/pokey_ps2_decoder.vhdl atari800core_v1_20140121_mcc216/pokey_ps2_decoder.vhdl
|
|||
--- atari800core_20140301_de1/pokey_ps2_decoder.vhdl 2014-01-17 20:20:16.000000000 +0000
|
|||
+++ atari800core_v1_20140121_mcc216/pokey_ps2_decoder.vhdl 2014-01-26 19:29:53.000000000 +0000
|
|||
@@ -37,7 +37,9 @@
|
|||
VIRTUAL_STICKS : out std_logic_vector(7 downto 0);
|
|||
VIRTUAL_TRIGGER : out std_logic_vector(3 downto 0);
|
|||
- SYSTEM_RESET : out std_logic
|
|||
+ SYSTEM_RESET : out std_logic;
|
|||
+
|
|||
+ VIRTUAL_KEYS : out std_logic_vector(3 downto 0)
|
|||
);
|
|||
END pokey_ps2_decoder;
|
|||
@@ -84,6 +86,9 @@
|
|||
signal system_reset_next : std_logic;
|
|||
signal system_reset_reg : std_logic;
|
|||
+
|
|||
+ signal virtual_keys_next : std_logic_vector(3 downto 0);
|
|||
+ signal virtual_keys_reg : std_logic_vector(3 downto 0);
|
|||
signal no_kbcode_update : std_logic;
|
|||
BEGIN
|
|||
@@ -116,6 +121,8 @@
|
|||
virtual_stick_pressed_reg <= '0';
|
|||
system_reset_reg <= '0';
|
|||
+
|
|||
+ virtual_keys_reg <= (others=>'0');
|
|||
elsif (clk'event and clk='1') then
|
|||
left_shift_pressed_reg <= left_shift_pressed_next;
|
|||
right_shift_pressed_reg <= right_shift_pressed_next;
|
|||
@@ -142,11 +149,12 @@
|
|||
virtual_stick_pressed_reg <= virtual_stick_pressed_next;
|
|||
system_reset_reg <= system_reset_next;
|
|||
+ virtual_keys_reg <= virtual_keys_next;
|
|||
end if;
|
|||
end process;
|
|||
-- update key pressed
|
|||
- process(key_event, key_up, key_code, key_extended, left_shift_pressed_reg, right_shift_pressed_reg, left_control_pressed_reg, right_control_pressed_reg, kbcode_reg, break_reg, start_reg, select_reg, option_reg, key_held_reg, no_kbcode_update, virtual_up_reg, virtual_down_reg, virtual_left_reg, virtual_right_reg, virtual_stick_pressed_reg, system_reset_reg)
|
|||
+ process(key_event, key_up, key_code, key_extended, left_shift_pressed_reg, right_shift_pressed_reg, left_control_pressed_reg, right_control_pressed_reg, kbcode_reg, break_reg, start_reg, select_reg, option_reg, key_held_reg, no_kbcode_update, virtual_up_reg, virtual_down_reg, virtual_left_reg, virtual_right_reg, virtual_stick_pressed_reg, system_reset_reg, virtual_keys_reg)
|
|||
begin
|
|||
left_shift_pressed_next <= left_shift_pressed_reg;
|
|||
right_shift_pressed_next <= right_shift_pressed_reg;
|
|||
@@ -170,6 +178,7 @@
|
|||
virtual_stick_pressed_next <= virtual_stick_pressed_reg;
|
|||
system_reset_next <= system_reset_reg;
|
|||
+ virtual_keys_next <= virtual_keys_reg;
|
|||
key_held_next <= key_held_reg;
|
|||
@@ -377,7 +386,31 @@
|
|||
no_kbcode_update <= '1';
|
|||
virtual_stick_pressed_next <= not(key_UP);
|
|||
interrupt_next <= '0';
|
|||
- key_held_next <= '0';
|
|||
+ key_held_next <= '0';
|
|||
+
|
|||
+ when '0'&X"0a" => -- f8 => system reset
|
|||
+ no_kbcode_update <= '1';
|
|||
+ virtual_keys_next(0) <= not(key_UP);
|
|||
+ interrupt_next <= '0';
|
|||
+ key_held_next <= '0';
|
|||
+
|
|||
+ when '0'&X"01" => -- f9 => system reset
|
|||
+ no_kbcode_update <= '1';
|
|||
+ virtual_keys_next(1) <= not(key_UP);
|
|||
+ interrupt_next <= '0';
|
|||
+ key_held_next <= '0';
|
|||
+
|
|||
+ when '0'&X"09" => -- f10 => system reset
|
|||
+ no_kbcode_update <= '1';
|
|||
+ virtual_keys_next(2) <= not(key_UP);
|
|||
+ interrupt_next <= '0';
|
|||
+ key_held_next <= '0';
|
|||
+
|
|||
+ when '0'&X"78" => -- f11 => system reset
|
|||
+ no_kbcode_update <= '1';
|
|||
+ virtual_keys_next(3) <= not(key_UP);
|
|||
+ interrupt_next <= '0';
|
|||
+ key_held_next <= '0';
|
|||
when '0'&X"07" => -- f12 => system reset
|
|||
no_kbcode_update <= '1';
|
|||
@@ -430,5 +463,6 @@
|
|||
VIRTUAL_TRIGGER <= "00"¬(virtual_stick_pressed_reg)¬(virtual_stick_pressed_reg);
|
|||
system_reset <= system_reset_reg;
|
|||
+ virtual_keys <= virtual_keys_reg;
|
|||
END vhdl;
|
|||
\ No newline at end of file
|
|||
Only in atari800core_v1_20140121_mcc216: pokey_ps2_decoder.vhdl.bak
|
|||
Only in atari800core_v1_20140121_mcc216: ramint.bsf
|
|||
Only in atari800core_v1_20140121_mcc216: ramint.cmp
|
|||
Only in atari800core_v1_20140121_mcc216: ramint.qip
|
|||
Only in atari800core_v1_20140121_mcc216: ramint.vhd
|
|||
Only in atari800core_v1_20140121_mcc216: romhi.bsf
|
|||
Only in atari800core_v1_20140121_mcc216: romhi.cmp
|
|||
Only in atari800core_v1_20140121_mcc216: romhi.qip
|
|||
Only in atari800core_v1_20140121_mcc216: romhi.vhd
|
|||
Only in atari800core_v1_20140121_mcc216: romlo.bsf
|
|||
Only in atari800core_v1_20140121_mcc216: romlo.cmp
|
|||
Only in atari800core_v1_20140121_mcc216: romlo.qip
|
|||
Only in atari800core_v1_20140121_mcc216: romlo.vhd
|
|||
Only in atari800core_v1_20140121_mcc216: sdram_ctrl_4_ports.v
|
|||
Only in atari800core_v1_20140121_mcc216: sdram_ctrl_4_ports.v.bak
|
|||
Only in atari800core_v1_20140121_mcc216: sdram_statemachine_mcc.vhdl
|
|||
Only in atari800core_v1_20140121_mcc216: sdram_statemachine_mcc.vhdl.bak
|
|||
diff -ur atari800core_20140301_de1/sdram_statemachine.vhdl atari800core_v1_20140121_mcc216/sdram_statemachine.vhdl
|
|||
--- atari800core_20140301_de1/sdram_statemachine.vhdl 2014-01-17 20:20:16.000000000 +0000
|
|||
+++ atari800core_v1_20140121_mcc216/sdram_statemachine.vhdl 2014-01-22 21:37:54.000000000 +0000
|
|||
@@ -204,8 +204,8 @@
|
|||
ras_n_reg <= '0';
|
|||
cas_n_reg <= '0';
|
|||
we_n_reg <= '0';
|
|||
- ldqm_reg <= '1';
|
|||
- udqm_reg <= '1';
|
|||
+ ldqm_reg <= '0';
|
|||
+ udqm_reg <= '0';
|
|||
cke_reg <= '0';
|
|||
--bank_row_reg <= (others=>(others=>'0'));
|
|||
Only in atari800core_v1_20140121_mcc216: sdram_statemachine.vhdl.bak
|
|||
diff -ur atari800core_20140301_de1/zpu/HelloTinyROM_ROM.mif atari800core_v1_20140121_mcc216/zpu/HelloTinyROM_ROM.mif
|
|||
--- atari800core_20140301_de1/zpu/HelloTinyROM_ROM.mif 2014-01-20 21:22:00.000000000 +0000
|
|||
+++ atari800core_v1_20140121_mcc216/zpu/HelloTinyROM_ROM.mif 2014-01-31 21:09:40.000000000 +0000
|
|||
@@ -170,7 +170,7 @@
|
|||
009f : 00000000;
|
|||
00a0 : 71fc0608;
|
|||
00a1 : 0b0b80f5;
|
|||
-00a2 : 98738306;
|
|||
+00a2 : d0738306;
|
|||
00a3 : 10100508;
|
|||
00a4 : 060b0b0b;
|
|||
00a5 : 88a20400;
|
|||
@@ -216,8 +216,8 @@
|
|||
00cd : 00000000;
|
|||
00ce : 00000000;
|
|||
00cf : 00000000;
|
|||
-00d0 : 810b80fa;
|
|||
-00d1 : ec0c5104;
|
|||
+00d0 : 810b80fb;
|
|||
+00d1 : ac0c5104;
|
|||
00d2 : 00000000;
|
|||
00d3 : 00000000;
|
|||
00d4 : 00000000;
|
|||
@@ -290,7 +290,7 @@
|
|||
0117 : 83e08408;
|
|||
0118 : 83e08808;
|
|||
0119 : 757580ef;
|
|||
-011a : c72d5050;
|
|||
+011a : fe2d5050;
|
|||
011b : 83e08008;
|
|||
011c : 5683e088;
|
|||
011d : 0c83e084;
|
|||
@@ -299,7 +299,7 @@
|
|||
0120 : e0800883;
|
|||
0121 : e0840883;
|
|||
0122 : e0880875;
|
|||
-0123 : 7580eddb;
|
|||
+0123 : 7580ee92;
|
|||
0124 : 2d505083;
|
|||
0125 : e0800856;
|
|||
0126 : 83e0880c;
|
|||
@@ -308,11 +308,11 @@
|
|||
0129 : 51040000;
|
|||
012a : 800489aa;
|
|||
012b : 0489aa0b;
|
|||
-012c : 80c0b404;
|
|||
+012c : 80c1a404;
|
|||
012d : fd3d0d75;
|
|||
012e : b9297681;
|
|||
012f : 2a0580fd;
|
|||
-0130 : bc085473;
|
|||
+0130 : fc085473;
|
|||
0131 : 0c853d0d;
|
|||
0132 : 04803d0d;
|
|||
0133 : 81ff5180;
|
|||
@@ -321,10 +321,10 @@
|
|||
0136 : 5170f438;
|
|||
0137 : 823d0d04;
|
|||
0138 : fa3d0d78;
|
|||
-0139 : 0b0b80f5;
|
|||
-013a : f05383e2;
|
|||
+0139 : 0b0b80f6;
|
|||
+013a : a85383e2;
|
|||
013b : f4525680;
|
|||
-013c : cd9a3f80;
|
|||
+013c : cdd13f80;
|
|||
013d : 5383e080;
|
|||
013e : 08732e8a;
|
|||
013f : 387283e0;
|
|||
@@ -332,7 +332,7 @@
|
|||
0141 : 0d0483e0;
|
|||
0142 : 945283e2;
|
|||
0143 : f45180ce;
|
|||
-0144 : 8e3f83e0;
|
|||
+0144 : c53f83e0;
|
|||
0145 : 800880d5;
|
|||
0146 : 3883e09d;
|
|||
0147 : 33537280;
|
|||
@@ -362,9 +362,9 @@
|
|||
015f : e0800c88;
|
|||
0160 : 3d0d04fd;
|
|||
0161 : 3d0d800b;
|
|||
-0162 : 80fdac08;
|
|||
+0162 : 80fdec08;
|
|||
0163 : 5353ff72;
|
|||
-0164 : 0c80fdb0;
|
|||
+0164 : 0c80fdf0;
|
|||
0165 : 0873710c;
|
|||
0166 : 5284d851;
|
|||
0167 : fe963f88;
|
|||
@@ -373,10 +373,10 @@
|
|||
016a : 553472a8;
|
|||
016b : 800a2e09;
|
|||
016c : 8106f038;
|
|||
-016d : 80fdac08;
|
|||
+016d : 80fdec08;
|
|||
016e : 5485aad5;
|
|||
016f : aad5740c;
|
|||
-0170 : 80fdb008;
|
|||
+0170 : 80fdf008;
|
|||
0171 : 5285aad5;
|
|||
0172 : aad5720c;
|
|||
0173 : 82800a53;
|
|||
@@ -390,10 +390,10 @@
|
|||
017b : 3f853d0d;
|
|||
017c : 04fd3d0d;
|
|||
017d : 800b80fd;
|
|||
-017e : ac085353;
|
|||
+017e : ec085353;
|
|||
017f : ff87c3e1;
|
|||
0180 : f0720c80;
|
|||
-0181 : fdb00873;
|
|||
+0181 : fdf00873;
|
|||
0182 : 710c528c;
|
|||
0183 : 9ac051fd;
|
|||
0184 : a33f8880;
|
|||
@@ -402,10 +402,10 @@
|
|||
0187 : 34728184;
|
|||
0188 : 80802e09;
|
|||
0189 : 8106ef38;
|
|||
-018a : 80fdac08;
|
|||
+018a : 80fdec08;
|
|||
018b : 5485aad5;
|
|||
018c : aad5740c;
|
|||
-018d : 80fdb008;
|
|||
+018d : 80fdf008;
|
|||
018e : 5285aad5;
|
|||
018f : aad5720c;
|
|||
0190 : 82800a53;
|
|||
@@ -419,8 +419,8 @@
|
|||
0198 : 8c9ac051;
|
|||
0199 : fcce3f85;
|
|||
019a : 3d0d04ff;
|
|||
-019b : 3d0d80fd;
|
|||
-019c : c0087008;
|
|||
+019b : 3d0d80fe;
|
|||
+019c : 80087008;
|
|||
019d : 52527381;
|
|||
019e : 2e8b3870;
|
|||
019f : 81800772;
|
|||
@@ -428,8 +428,8 @@
|
|||
01a1 : 0470feff;
|
|||
01a2 : 06720c83;
|
|||
01a3 : 3d0d04ff;
|
|||
-01a4 : 3d0d80fd;
|
|||
-01a5 : c0087008;
|
|||
+01a4 : 3d0d80fe;
|
|||
+01a5 : 80087008;
|
|||
01a6 : 5252738b;
|
|||
01a7 : 3870ffbf;
|
|||
01a8 : 06720c83;
|
|||
@@ -438,10 +438,10 @@
|
|||
01ab : 0c833d0d;
|
|||
01ac : 04ff0b83;
|
|||
01ad : e0b00c80;
|
|||
-01ae : ceac3f80;
|
|||
-01af : d1b03f83;
|
|||
+01ae : cee33f80;
|
|||
+01af : d1e73f83;
|
|||
01b0 : e08008f3;
|
|||
-01b1 : 3880d3f5;
|
|||
+01b1 : 3880d4ac;
|
|||
01b2 : 3f800b83;
|
|||
01b3 : e0800c04;
|
|||
01b4 : 803d0d70;
|
|||
@@ -476,22 +476,22 @@
|
|||
01d1 : 3d238070;
|
|||
01d2 : 7183e2e4;
|
|||
01d3 : 0c0b0b80;
|
|||
-01d4 : f5f45357;
|
|||
-01d5 : 5880da88;
|
|||
+01d4 : f6ac5357;
|
|||
+01d5 : 5880dabf;
|
|||
01d6 : 3f765180;
|
|||
-01d7 : da823f0b;
|
|||
-01d8 : 0b80fab4;
|
|||
-01d9 : 5180d9f8;
|
|||
+01d7 : dab93f0b;
|
|||
+01d8 : 0b80faf4;
|
|||
+01d9 : 5180daaf;
|
|||
01da : 3f765180;
|
|||
-01db : c2ba3f83;
|
|||
+01db : c2f13f83;
|
|||
01dc : e0800878;
|
|||
01dd : 2e8f380b;
|
|||
-01de : 0b80f680;
|
|||
-01df : 5180d9e0;
|
|||
+01de : 0b80f6b8;
|
|||
+01df : 5180da97;
|
|||
01e0 : 3f8a3d0d;
|
|||
01e1 : 040b0b80;
|
|||
-01e2 : f6885180;
|
|||
-01e3 : d9d23f75;
|
|||
+01e2 : f6c05180;
|
|||
+01e3 : da893f75;
|
|||
01e4 : 17703355;
|
|||
01e5 : 5573802e;
|
|||
01e6 : 80fd3873;
|
|||
@@ -530,12 +530,12 @@
|
|||
0207 : 8a3dfc05;
|
|||
0208 : 53905283;
|
|||
0209 : e2e85180;
|
|||
-020a : c2be3f89;
|
|||
+020a : c2f53f89;
|
|||
020b : 3d225574;
|
|||
020c : 902e8e38;
|
|||
020d : 0b0b80f6;
|
|||
-020e : 8c5180d8;
|
|||
-020f : a33ffec1;
|
|||
+020e : c45180d8;
|
|||
+020f : da3ffec1;
|
|||
0210 : 3983e2e8;
|
|||
0211 : 51fd953f;
|
|||
0212 : 83e2ea51;
|
|||
@@ -552,11 +552,11 @@
|
|||
021d : 2e82ce38;
|
|||
021e : 7385962e;
|
|||
021f : 8e380b0b;
|
|||
-0220 : 80f6a451;
|
|||
-0221 : 80d7d93f;
|
|||
+0220 : 80f6dc51;
|
|||
+0221 : 80d8903f;
|
|||
0222 : fdf7390b;
|
|||
-0223 : 0b80f6b8;
|
|||
-0224 : 5180d7cc;
|
|||
+0223 : 0b80f6f0;
|
|||
+0224 : 5180d883;
|
|||
0225 : 3f7483e3;
|
|||
0226 : 900c83e2;
|
|||
0227 : ec3383e2;
|
|||
@@ -568,20 +568,20 @@
|
|||
022d : 81387381;
|
|||
022e : ff2681b8;
|
|||
022f : 380b0b80;
|
|||
-0230 : f6c05180;
|
|||
-0231 : d79a3f83;
|
|||
+0230 : f6f85180;
|
|||
+0231 : d7d13f83;
|
|||
0232 : e2ea3383;
|
|||
0233 : e2eb3371;
|
|||
0234 : 882b0752;
|
|||
-0235 : 5680d897;
|
|||
+0235 : 5680d8ce;
|
|||
0236 : 3f0b0b80;
|
|||
-0237 : f6c45180;
|
|||
-0238 : d6fe3f81;
|
|||
+0237 : f6fc5180;
|
|||
+0238 : d7b53f81;
|
|||
0239 : 0b83e2e4;
|
|||
023a : 0c8a3d0d;
|
|||
023b : 040b0b80;
|
|||
-023c : f6c85180;
|
|||
-023d : d6ea3f80;
|
|||
+023c : f7805180;
|
|||
+023d : d7a13f80;
|
|||
023e : 0b83e390;
|
|||
023f : 0c820b83;
|
|||
0240 : e2e834ff;
|
|||
@@ -613,29 +613,29 @@
|
|||
025a : ec34ff80;
|
|||
025b : 0b83e2ed;
|
|||
025c : 34fea739;
|
|||
-025d : 0b0b80f6;
|
|||
-025e : d05180d5;
|
|||
-025f : e33ffc81;
|
|||
+025d : 0b0b80f7;
|
|||
+025e : 885180d6;
|
|||
+025f : 9a3ffc81;
|
|||
0260 : 3983e2ea;
|
|||
0261 : 3383e2eb;
|
|||
0262 : 3371882b;
|
|||
0263 : 075558ad;
|
|||
0264 : 80742781;
|
|||
0265 : f2380b0b;
|
|||
-0266 : 80f6e051;
|
|||
-0267 : 80d5c13f;
|
|||
+0266 : 80f79851;
|
|||
+0267 : 80d5f83f;
|
|||
0268 : 83e2ea33;
|
|||
0269 : 83e2eb33;
|
|||
026a : 71882b07;
|
|||
026b : 525680d6;
|
|||
-026c : be3f0b0b;
|
|||
-026d : 80f6c451;
|
|||
-026e : 80d5a53f;
|
|||
+026c : f53f0b0b;
|
|||
+026d : 80f6fc51;
|
|||
+026e : 80d5dc3f;
|
|||
026f : 810b83e2;
|
|||
0270 : e40cfea5;
|
|||
0271 : 390b0b80;
|
|||
-0272 : f6e45180;
|
|||
-0273 : d5923ffe;
|
|||
+0272 : f79c5180;
|
|||
+0273 : d5c93ffe;
|
|||
0274 : 800b83e3;
|
|||
0275 : 900c810b;
|
|||
0276 : 83e3880c;
|
|||
@@ -682,43 +682,43 @@
|
|||
029f : 800b83e2;
|
|||
02a0 : ed348055;
|
|||
02a1 : ffa5390b;
|
|||
-02a2 : 0b80f6ec;
|
|||
-02a3 : 5180d3d0;
|
|||
+02a2 : 0b80f7a4;
|
|||
+02a3 : 5180d487;
|
|||
02a4 : 3f83e2ea;
|
|||
02a5 : 3383e2eb;
|
|||
02a6 : 3371882b;
|
|||
02a7 : 07525680;
|
|||
-02a8 : d4cd3f0b;
|
|||
-02a9 : 0b80f6c4;
|
|||
-02aa : 5180d3b4;
|
|||
+02a8 : d5843f0b;
|
|||
+02a9 : 0b80f6fc;
|
|||
+02aa : 5180d3eb;
|
|||
02ab : 3f810b83;
|
|||
02ac : e2e40cfc;
|
|||
02ad : b4390b0b;
|
|||
-02ae : 80f6f051;
|
|||
-02af : 80d3a13f;
|
|||
+02ae : 80f7a851;
|
|||
+02af : 80d3d83f;
|
|||
02b0 : 83e2ea33;
|
|||
02b1 : 83e2eb33;
|
|||
02b2 : 71882b07;
|
|||
02b3 : 525680d4;
|
|||
-02b4 : 9e3f0b0b;
|
|||
-02b5 : 80f6c451;
|
|||
-02b6 : 80d3853f;
|
|||
+02b4 : d53f0b0b;
|
|||
+02b5 : 80f6fc51;
|
|||
+02b6 : 80d3bc3f;
|
|||
02b7 : 810b83e2;
|
|||
02b8 : e40cfc85;
|
|||
02b9 : 39f73d0d;
|
|||
02ba : 7b5a8c9a;
|
|||
02bb : c051f3c4;
|
|||
02bc : 3f805780;
|
|||
-02bd : d0c13f76;
|
|||
+02bd : d0f83f76;
|
|||
02be : 54800b86;
|
|||
02bf : b8c01534;
|
|||
02c0 : 81145473;
|
|||
02c1 : 87c02e09;
|
|||
02c2 : 8106ee38;
|
|||
-02c3 : 0b0b80f5;
|
|||
-02c4 : f05283e2;
|
|||
-02c5 : f45180c0;
|
|||
-02c6 : f33f83e0;
|
|||
+02c3 : 0b0b80f6;
|
|||
+02c4 : a85283e2;
|
|||
+02c5 : f45180c1;
|
|||
+02c6 : aa3f83e0;
|
|||
02c7 : 800883db;
|
|||
02c8 : 38807083;
|
|||
02c9 : e3a80855;
|
|||
@@ -728,8 +728,8 @@
|
|||
02cd : 80732482;
|
|||
02ce : ff3883e0;
|
|||
02cf : 945283e2;
|
|||
-02d0 : f45180c1;
|
|||
-02d1 : da3f83e0;
|
|||
+02d0 : f45180c2;
|
|||
+02d1 : 913f83e0;
|
|||
02d2 : 800880db;
|
|||
02d3 : 3883e09d;
|
|||
02d4 : 33537280;
|
|||
@@ -751,17 +751,17 @@
|
|||
02e4 : 175783e0;
|
|||
02e5 : 945283e2;
|
|||
02e6 : f45180c1;
|
|||
-02e7 : 823f83e0;
|
|||
+02e7 : b93f83e0;
|
|||
02e8 : 8008802e;
|
|||
02e9 : ffa7380b;
|
|||
-02ea : 0b80fac0;
|
|||
-02eb : 5180d1b0;
|
|||
+02ea : 0b80fb80;
|
|||
+02eb : 5180d1e7;
|
|||
02ec : 3f955180;
|
|||
-02ed : cf943f80;
|
|||
+02ed : cfcb3f80;
|
|||
02ee : 0b83e0b4;
|
|||
02ef : 0c7951f6;
|
|||
-02f0 : fb3f80fc;
|
|||
-02f1 : c0087033;
|
|||
+02f0 : fb3f80fd;
|
|||
+02f1 : 80087033;
|
|||
02f2 : 7081ff06;
|
|||
02f3 : 70812a81;
|
|||
02f4 : 32708106;
|
|||
@@ -777,17 +777,17 @@
|
|||
02fe : 822a8132;
|
|||
02ff : 70810651;
|
|||
0300 : 537282a3;
|
|||
-0301 : 3880fcb8;
|
|||
+0301 : 3880fcf8;
|
|||
0302 : 08703370;
|
|||
0303 : 81ff0651;
|
|||
0304 : 54547280;
|
|||
0305 : 2e81f038;
|
|||
-0306 : 80ce9c3f;
|
|||
-0307 : 80fcc408;
|
|||
-0308 : 80fcd408;
|
|||
+0306 : 80ced33f;
|
|||
+0307 : 80fd8408;
|
|||
+0308 : 80fd9408;
|
|||
0309 : 54547333;
|
|||
-030a : 733480fc;
|
|||
-030b : c0087033;
|
|||
+030a : 733480fd;
|
|||
+030b : 80087033;
|
|||
030c : 7081ff06;
|
|||
030d : 70812a81;
|
|||
030e : 32708106;
|
|||
@@ -798,8 +798,8 @@
|
|||
0313 : 0583e3a8;
|
|||
0314 : 0c84f180;
|
|||
0315 : 51f0dd3f;
|
|||
-0316 : 805780cd;
|
|||
-0317 : da3f7654;
|
|||
+0316 : 805780ce;
|
|||
+0317 : 913f7654;
|
|||
0318 : fd973974;
|
|||
0319 : 83e09d57;
|
|||
031a : 54731a53;
|
|||
@@ -816,8 +816,8 @@
|
|||
0325 : ff185877;
|
|||
0326 : 8025fdf3;
|
|||
0327 : 38a2390b;
|
|||
-0328 : 0b80f6f4;
|
|||
-0329 : 5180cfb8;
|
|||
+0328 : 0b80f7ac;
|
|||
+0329 : 5180cfef;
|
|||
032a : 3f83e3a8;
|
|||
032b : 08772e09;
|
|||
032c : 8106fdd2;
|
|||
@@ -825,22 +825,22 @@
|
|||
032e : 7883e3a8;
|
|||
032f : 0cfcfb39;
|
|||
0330 : 83e09d51;
|
|||
-0331 : 80cf993f;
|
|||
+0331 : 80cfd03f;
|
|||
0332 : 81197081;
|
|||
0333 : 06545972;
|
|||
0334 : 802e9338;
|
|||
-0335 : 945180cc;
|
|||
-0336 : eb3f78a8;
|
|||
+0335 : 945180cd;
|
|||
+0336 : a23f78a8;
|
|||
0337 : 2efdc838;
|
|||
0338 : 811757fd;
|
|||
0339 : ad390b0b;
|
|||
-033a : 80fac051;
|
|||
-033b : 80cef13f;
|
|||
+033a : 80fb8051;
|
|||
+033b : 80cfa83f;
|
|||
033c : 78a82e09;
|
|||
033d : 8106e938;
|
|||
033e : fdad390b;
|
|||
-033f : 0b80f6fc;
|
|||
-0340 : 5180cedc;
|
|||
+033f : 0b80f7b4;
|
|||
+0340 : 5180cf93;
|
|||
0341 : 3fff3973;
|
|||
0342 : 337081ff;
|
|||
0343 : 06515372;
|
|||
@@ -864,7 +864,7 @@
|
|||
0355 : f18051fd;
|
|||
0356 : fc39e23d;
|
|||
0357 : 0d800b80;
|
|||
-0358 : fdb40870;
|
|||
+0358 : fdf40870;
|
|||
0359 : 08708106;
|
|||
035a : 51565658;
|
|||
035b : 73782e09;
|
|||
@@ -880,13 +880,13 @@
|
|||
0365 : 8051f1d3;
|
|||
0366 : 3f8151f1;
|
|||
0367 : ce3f80fd;
|
|||
-0368 : b4085574;
|
|||
+0368 : f4085574;
|
|||
0369 : 0870832a;
|
|||
036a : 70810651;
|
|||
036b : 51547380;
|
|||
036c : 2e963880;
|
|||
036d : 51f1b43f;
|
|||
-036e : 80fce008;
|
|||
+036e : 80fda008;
|
|||
036f : 54807434;
|
|||
0370 : f0af3f81;
|
|||
0371 : 51f1a43f;
|
|||
@@ -894,60 +894,60 @@
|
|||
0373 : 8151f1bf;
|
|||
0374 : 3ff09e3f;
|
|||
0375 : 8e3d57a1;
|
|||
-0376 : 5380f5a8;
|
|||
+0376 : 5380f5e0;
|
|||
0377 : 52765180;
|
|||
-0378 : d89a3f80;
|
|||
+0378 : d8d13f80;
|
|||
0379 : 56848c80;
|
|||
037a : 16761855;
|
|||
037b : 55733375;
|
|||
037c : 34811656;
|
|||
037d : 75a12e09;
|
|||
037e : 8106ea38;
|
|||
-037f : 80fcdc08;
|
|||
+037f : 80fd9c08;
|
|||
0380 : 54807434;
|
|||
-0381 : 80fcd808;
|
|||
+0381 : 80fd9808;
|
|||
0382 : 54867434;
|
|||
-0383 : 80fcd408;
|
|||
+0383 : 80fd9408;
|
|||
0384 : 54807434;
|
|||
-0385 : 80fcd008;
|
|||
+0385 : 80fd9008;
|
|||
0386 : 548f7434;
|
|||
-0387 : 80fccc08;
|
|||
+0387 : 80fd8c08;
|
|||
0388 : 54807434;
|
|||
-0389 : 80fcc808;
|
|||
+0389 : 80fd8808;
|
|||
038a : 54807434;
|
|||
-038b : 80fcbc08;
|
|||
+038b : 80fcfc08;
|
|||
038c : 54ff7434;
|
|||
-038d : 80fcb408;
|
|||
+038d : 80fcf408;
|
|||
038e : 54e07434;
|
|||
-038f : 80fcac08;
|
|||
+038f : 80fcec08;
|
|||
0390 : 54a27434;
|
|||
0391 : 815180ca;
|
|||
-0392 : 873f83e3;
|
|||
+0392 : be3f83e3;
|
|||
0393 : 9851f995;
|
|||
0394 : 3f83e080;
|
|||
0395 : 08802ead;
|
|||
0396 : 38810b83;
|
|||
0397 : e0b40c0b;
|
|||
-0398 : 0b80f78c;
|
|||
-0399 : 5180cbf8;
|
|||
+0398 : 0b80f7c4;
|
|||
+0399 : 5180ccaf;
|
|||
039a : 3f9ec2a0;
|
|||
039b : 51ecc53f;
|
|||
039c : 8051eff7;
|
|||
-039d : 3f80fce0;
|
|||
+039d : 3f80fda0;
|
|||
039e : 08548074;
|
|||
039f : 34eef23f;
|
|||
03a0 : 8151efe7;
|
|||
03a1 : 3f83e0ac;
|
|||
03a2 : 085180c9;
|
|||
-03a3 : c33f8051;
|
|||
+03a3 : fa3f8051;
|
|||
03a4 : effd3f80;
|
|||
-03a5 : fdb40855;
|
|||
+03a5 : fdf40855;
|
|||
03a6 : fdea3977;
|
|||
03a7 : 78797a5f;
|
|||
03a8 : 595a5b81;
|
|||
03a9 : 51efe83f;
|
|||
03aa : 815180c9;
|
|||
-03ab : a33f819f;
|
|||
+03ab : da3f84df;
|
|||
03ac : e0801886;
|
|||
03ad : b8801955;
|
|||
03ae : 55733375;
|
|||
@@ -961,7 +961,7 @@
|
|||
03b6 : 88802e09;
|
|||
03b7 : 8106ed38;
|
|||
03b8 : 83a08058;
|
|||
-03b9 : 819c8080;
|
|||
+03b9 : 84dc8080;
|
|||
03ba : 18888080;
|
|||
03bb : 19565474;
|
|||
03bc : 33743484;
|
|||
@@ -971,7 +971,7 @@
|
|||
03c0 : a09f2e09;
|
|||
03c1 : 8106dd38;
|
|||
03c2 : 83a48058;
|
|||
-03c3 : 819c8080;
|
|||
+03c3 : 84dc8080;
|
|||
03c4 : 18888080;
|
|||
03c5 : 19565474;
|
|||
03c6 : 33743484;
|
|||
@@ -981,7 +981,7 @@
|
|||
03ca : a49f2e09;
|
|||
03cb : 8106dd38;
|
|||
03cc : 83a88058;
|
|||
-03cd : 819c8080;
|
|||
+03cd : 84dc8080;
|
|||
03ce : 18888080;
|
|||
03cf : 19565474;
|
|||
03d0 : 33743484;
|
|||
@@ -990,59 +990,59 @@
|
|||
03d3 : 18587783;
|
|||
03d4 : a88f2e09;
|
|||
03d5 : 8106dd38;
|
|||
-03d6 : 80fcbc08;
|
|||
+03d6 : 80fcfc08;
|
|||
03d7 : 70337081;
|
|||
03d8 : ff069a3d;
|
|||
03d9 : 595c5154;
|
|||
-03da : a15380f5;
|
|||
-03db : cc527551;
|
|||
-03dc : 80d5893f;
|
|||
+03da : a15380f6;
|
|||
+03db : 84527551;
|
|||
+03dc : 80d5c03f;
|
|||
03dd : 805886b8;
|
|||
03de : 80187817;
|
|||
03df : 55557333;
|
|||
03e0 : 75348118;
|
|||
03e1 : 5877a12e;
|
|||
03e2 : 098106ea;
|
|||
-03e3 : 3880fcdc;
|
|||
+03e3 : 3880fd9c;
|
|||
03e4 : 08548074;
|
|||
-03e5 : 3480fcd8;
|
|||
+03e5 : 3480fd98;
|
|||
03e6 : 0854ff9c;
|
|||
-03e7 : 743480fc;
|
|||
-03e8 : d4085480;
|
|||
-03e9 : 743480fc;
|
|||
-03ea : d008548f;
|
|||
-03eb : 743480fc;
|
|||
-03ec : cc085480;
|
|||
-03ed : 743480fc;
|
|||
-03ee : c8085480;
|
|||
+03e7 : 743480fd;
|
|||
+03e8 : 94085480;
|
|||
+03e9 : 743480fd;
|
|||
+03ea : 9008548f;
|
|||
+03eb : 743480fd;
|
|||
+03ec : 8c085480;
|
|||
+03ed : 743480fd;
|
|||
+03ee : 88085480;
|
|||
03ef : 743480fc;
|
|||
-03f0 : b40854e0;
|
|||
+03f0 : f40854e0;
|
|||
03f1 : 743480fc;
|
|||
-03f2 : ac0854a2;
|
|||
+03f2 : ec0854a2;
|
|||
03f3 : 743480fc;
|
|||
-03f4 : bc0854ff;
|
|||
+03f4 : fc0854ff;
|
|||
03f5 : 743480fc;
|
|||
-03f6 : a8085483;
|
|||
+03f6 : e8085483;
|
|||
03f7 : 743480fc;
|
|||
-03f8 : b0085482;
|
|||
+03f8 : f0085482;
|
|||
03f9 : 7434fd5d;
|
|||
03fa : 87a9c051;
|
|||
03fb : e9c63f80;
|
|||
-03fc : c6c53f80;
|
|||
+03fc : c6fc3f80;
|
|||
03fd : 58800b86;
|
|||
03fe : b8c01934;
|
|||
03ff : 81185877;
|
|||
0400 : 87c02e09;
|
|||
0401 : 8106ee38;
|
|||
0402 : 0b0b80f7;
|
|||
-0403 : 985180c8;
|
|||
-0404 : cf3f0b0b;
|
|||
-0405 : 80f7ac51;
|
|||
-0406 : 80c8c53f;
|
|||
+0403 : d05180c9;
|
|||
+0404 : 863f0b0b;
|
|||
+0405 : 80f7e451;
|
|||
+0406 : 80c8fc3f;
|
|||
0407 : 0b0b80f7;
|
|||
-0408 : c05180c8;
|
|||
-0409 : bb3f80fd;
|
|||
-040a : c0087008;
|
|||
+0408 : f85180c8;
|
|||
+0409 : f23f80fe;
|
|||
+040a : 80087008;
|
|||
040b : 70882c8f;
|
|||
040c : 06575556;
|
|||
040d : 78b33876;
|
|||
@@ -1054,25 +1054,25 @@
|
|||
0413 : 7a7b5a5e;
|
|||
0414 : 565487a9;
|
|||
0415 : c051e8dc;
|
|||
-0416 : 3f80c5db;
|
|||
+0416 : 3f80c692;
|
|||
0417 : 3f8058ff;
|
|||
0418 : 94398180;
|
|||
-0419 : 5180c7f2;
|
|||
+0419 : 5180c8a9;
|
|||
041a : 3f74812e;
|
|||
-041b : 89983881;
|
|||
-041c : 752588d9;
|
|||
+041b : 899c3881;
|
|||
+041c : 752588dd;
|
|||
041d : 3874822e;
|
|||
-041e : 88903874;
|
|||
+041e : 88933874;
|
|||
041f : 832e869b;
|
|||
0420 : 380b0b80;
|
|||
-0421 : f7c85180;
|
|||
-0422 : c7d63f0b;
|
|||
-0423 : 0b80fac0;
|
|||
-0424 : 5180c7cc;
|
|||
+0421 : f8805180;
|
|||
+0422 : c88d3f0b;
|
|||
+0423 : 0b80fb80;
|
|||
+0424 : 5180c883;
|
|||
0425 : 3f0b0b80;
|
|||
-0426 : f7d05180;
|
|||
-0427 : c7c23f80;
|
|||
-0428 : fdc00870;
|
|||
+0426 : f8885180;
|
|||
+0427 : c7f93f80;
|
|||
+0428 : fe800870;
|
|||
0429 : 08708c2c;
|
|||
042a : 8f065755;
|
|||
042b : 5678812e;
|
|||
@@ -1080,17 +1080,17 @@
|
|||
042d : 812e87a1;
|
|||
042e : 38817525;
|
|||
042f : 86e33874;
|
|||
-0430 : 822e8cae;
|
|||
+0430 : 822e8cb2;
|
|||
0431 : 3874832e;
|
|||
-0432 : 8ce7380b;
|
|||
-0433 : 0b80f7c8;
|
|||
-0434 : 5180c78c;
|
|||
+0432 : 8ceb380b;
|
|||
+0433 : 0b80f880;
|
|||
+0434 : 5180c7c3;
|
|||
0435 : 3f0b0b80;
|
|||
-0436 : fac05180;
|
|||
-0437 : c7823f0b;
|
|||
-0438 : 0b80f7dc;
|
|||
-0439 : 5180c6f8;
|
|||
-043a : 3f80fdc0;
|
|||
+0436 : fb805180;
|
|||
+0437 : c7b93f0b;
|
|||
+0438 : 0b80f894;
|
|||
+0439 : 5180c7af;
|
|||
+043a : 3f80fe80;
|
|||
043b : 087008bf;
|
|||
043c : 06565678;
|
|||
043d : 822e8680;
|
|||
@@ -1098,49 +1098,49 @@
|
|||
043f : 83c19c35;
|
|||
0440 : 7083ffff;
|
|||
0441 : 06535154;
|
|||
-0442 : 80c7e43f;
|
|||
-0443 : 0b0b80f7;
|
|||
-0444 : e45180c6;
|
|||
-0445 : cb3f7883;
|
|||
+0442 : 80c89b3f;
|
|||
+0443 : 0b0b80f8;
|
|||
+0444 : 9c5180c7;
|
|||
+0445 : 823f7883;
|
|||
0446 : 2e85b838;
|
|||
0447 : 83e39851;
|
|||
-0448 : 80c6bd3f;
|
|||
-0449 : 0b0b80f7;
|
|||
-044a : f05180c6;
|
|||
-044b : b33f7884;
|
|||
+0448 : 80c6f43f;
|
|||
+0449 : 0b0b80f8;
|
|||
+044a : a85180c6;
|
|||
+044b : ea3f7884;
|
|||
044c : 2e84c338;
|
|||
044d : 83e3d851;
|
|||
-044e : 80c6a53f;
|
|||
-044f : 0b0b80f7;
|
|||
-0450 : f85180c6;
|
|||
-0451 : 9b3f7885;
|
|||
+044e : 80c6dc3f;
|
|||
+044f : 0b0b80f8;
|
|||
+0450 : b05180c6;
|
|||
+0451 : d23f7885;
|
|||
0452 : 2e848638;
|
|||
0453 : 83e2c851;
|
|||
-0454 : 80c68d3f;
|
|||
+0454 : 80c6c43f;
|
|||
0455 : 0b0b80f8;
|
|||
-0456 : 805180c6;
|
|||
-0457 : 833f7886;
|
|||
+0456 : b85180c6;
|
|||
+0457 : ba3f7886;
|
|||
0458 : 2e85b438;
|
|||
0459 : 83e0b851;
|
|||
-045a : 80c5f53f;
|
|||
+045a : 80c6ac3f;
|
|||
045b : 7c832693;
|
|||
045c : 387b812e;
|
|||
-045d : 87c53876;
|
|||
-045e : 812e8a90;
|
|||
+045d : 87c93876;
|
|||
+045e : 812e8a94;
|
|||
045f : 3876ff2e;
|
|||
-0460 : 89a43878;
|
|||
-0461 : 872e88a0;
|
|||
+0460 : 89a83878;
|
|||
+0461 : 872e88a4;
|
|||
0462 : 380b0b80;
|
|||
-0463 : f8885180;
|
|||
-0464 : c5ce3f78;
|
|||
-0465 : 872e86a7;
|
|||
+0463 : f8c05180;
|
|||
+0464 : c6853f78;
|
|||
+0465 : 872e86ab;
|
|||
0466 : 3878882e;
|
|||
-0467 : 8893380b;
|
|||
-0468 : 0b80f890;
|
|||
-0469 : 5180c5b8;
|
|||
+0467 : 8897380b;
|
|||
+0468 : 0b80f8c8;
|
|||
+0469 : 5180c5ef;
|
|||
046a : 3f78882e;
|
|||
046b : 81d33880;
|
|||
-046c : 7080fcc0;
|
|||
+046c : 7080fd80;
|
|||
046d : 08703370;
|
|||
046e : 81ff0670;
|
|||
046f : 812a8132;
|
|||
@@ -1149,24 +1149,24 @@
|
|||
0472 : 7580e938;
|
|||
0473 : 74813270;
|
|||
0474 : 81065154;
|
|||
-0475 : 7388b538;
|
|||
+0475 : 7388b938;
|
|||
0476 : 74832a81;
|
|||
0477 : 32708106;
|
|||
0478 : 51547388;
|
|||
-0479 : 96387482;
|
|||
+0479 : 9a387482;
|
|||
047a : 2a813270;
|
|||
047b : 81065154;
|
|||
-047c : 7387f738;
|
|||
-047d : 80fcb808;
|
|||
+047c : 7387fb38;
|
|||
+047d : 80fcf808;
|
|||
047e : 70337081;
|
|||
047f : ff065155;
|
|||
0480 : 5573802e;
|
|||
-0481 : 87c63880;
|
|||
-0482 : c2ad3f80;
|
|||
-0483 : fcc40880;
|
|||
-0484 : fcd40855;
|
|||
+0481 : 87ca3880;
|
|||
+0482 : c2e43f80;
|
|||
+0483 : fd840880;
|
|||
+0484 : fd940855;
|
|||
0485 : 55743374;
|
|||
-0486 : 3480fcc0;
|
|||
+0486 : 3480fd80;
|
|||
0487 : 08703370;
|
|||
0488 : 81ff0670;
|
|||
0489 : 812a8132;
|
|||
@@ -1179,10 +1179,10 @@
|
|||
0490 : 8859855d;
|
|||
0491 : 87a9c051;
|
|||
0492 : e4ea3f80;
|
|||
-0493 : c1e93f80;
|
|||
+0493 : c2a03f80;
|
|||
0494 : 58fba239;
|
|||
0495 : 76802e87;
|
|||
-0496 : c4387508;
|
|||
+0496 : c8387508;
|
|||
0497 : 77168306;
|
|||
0498 : 71fc9fff;
|
|||
0499 : 06718c2b;
|
|||
@@ -1190,13 +1190,13 @@
|
|||
049b : 54807058;
|
|||
049c : 5c87a9c0;
|
|||
049d : 51e4bd3f;
|
|||
-049e : 80c1bc3f;
|
|||
+049e : 80c1f33f;
|
|||
049f : 8058faf5;
|
|||
04a0 : 397b812e;
|
|||
04a1 : 098106fe;
|
|||
04a2 : a63880c0;
|
|||
04a3 : 5886b880;
|
|||
-04a4 : 18819fe0;
|
|||
+04a4 : 1884dfe0;
|
|||
04a5 : 80195555;
|
|||
04a6 : 73337534;
|
|||
04a7 : 81185877;
|
|||
@@ -1204,7 +1204,7 @@
|
|||
04a9 : 8106e638;
|
|||
04aa : 83a08058;
|
|||
04ab : 84808018;
|
|||
-04ac : 819c8080;
|
|||
+04ac : 84dc8080;
|
|||
04ad : 19555573;
|
|||
04ae : 33753481;
|
|||
04af : 18587783;
|
|||
@@ -1212,7 +1212,7 @@
|
|||
04b1 : 8106e538;
|
|||
04b2 : 83a48058;
|
|||
04b3 : 84808018;
|
|||
-04b4 : 819c8080;
|
|||
+04b4 : 84dc8080;
|
|||
04b5 : 19555573;
|
|||
04b6 : 33753481;
|
|||
04b7 : 18587783;
|
|||
@@ -1220,57 +1220,57 @@
|
|||
04b9 : 8106e538;
|
|||
04ba : 83a88058;
|
|||
04bb : 84808018;
|
|||
-04bc : 819c8080;
|
|||
+04bc : 84dc8080;
|
|||
04bd : 19555573;
|
|||
04be : 33753481;
|
|||
04bf : 18587783;
|
|||
04c0 : a88f2e09;
|
|||
04c1 : 8106e538;
|
|||
-04c2 : 80fcbc08;
|
|||
+04c2 : 80fcfc08;
|
|||
04c3 : 54797434;
|
|||
04c4 : 83e0ac08;
|
|||
-04c5 : 5180c0b8;
|
|||
+04c5 : 5180c0ef;
|
|||
04c6 : 3f7a802e;
|
|||
04c7 : a7380b0b;
|
|||
-04c8 : 80f78c51;
|
|||
-04c9 : 80c2b93f;
|
|||
+04c8 : 80f7c451;
|
|||
+04c9 : 80c2f03f;
|
|||
04ca : 9ec2a051;
|
|||
04cb : e3863f80;
|
|||
04cc : 51e6b83f;
|
|||
-04cd : 80fce008;
|
|||
+04cd : 80fda008;
|
|||
04ce : 54807434;
|
|||
04cf : e5b33f81;
|
|||
04d0 : 51e6a83f;
|
|||
04d1 : 8051e6c7;
|
|||
-04d2 : 3f80fdb4;
|
|||
+04d2 : 3f80fdf4;
|
|||
04d3 : 0855f4a5;
|
|||
04d4 : 39818051;
|
|||
-04d5 : 80c2833f;
|
|||
+04d5 : 80c2ba3f;
|
|||
04d6 : 83e2c851;
|
|||
-04d7 : 80c2813f;
|
|||
+04d7 : 80c2b83f;
|
|||
04d8 : 0b0b80f8;
|
|||
-04d9 : 805180c1;
|
|||
-04da : f73f7886;
|
|||
+04d9 : b85180c2;
|
|||
+04da : ae3f7886;
|
|||
04db : 2e098106;
|
|||
04dc : fbf23881;
|
|||
04dd : a2398180;
|
|||
-04de : 5180c1de;
|
|||
+04de : 5180c295;
|
|||
04df : 3f83e3d8;
|
|||
-04e0 : 5180c1dc;
|
|||
+04e0 : 5180c293;
|
|||
04e1 : 3f0b0b80;
|
|||
-04e2 : f7f85180;
|
|||
-04e3 : c1d23f78;
|
|||
+04e2 : f8b05180;
|
|||
+04e3 : c2893f78;
|
|||
04e4 : 852e0981;
|
|||
04e5 : 06fbb538;
|
|||
04e6 : ffb7390b;
|
|||
-04e7 : 0b80f898;
|
|||
-04e8 : 5180c1bc;
|
|||
+04e7 : 0b80f8d0;
|
|||
+04e8 : 5180c1f3;
|
|||
04e9 : 3f0b0b80;
|
|||
-04ea : fac05180;
|
|||
-04eb : c1b23f0b;
|
|||
-04ec : 0b80f7d0;
|
|||
-04ed : 5180c1a8;
|
|||
-04ee : 3f80fdc0;
|
|||
+04ea : fb805180;
|
|||
+04eb : c1e93f0b;
|
|||
+04ec : 0b80f888;
|
|||
+04ed : 5180c1df;
|
|||
+04ee : 3f80fe80;
|
|||
04ef : 08700870;
|
|||
04f0 : 8c2c8f06;
|
|||
04f1 : 57555678;
|
|||
@@ -1278,16 +1278,16 @@
|
|||
04f3 : 06f9e438;
|
|||
04f4 : fd823981;
|
|||
04f5 : 805180c1;
|
|||
-04f6 : 813f83e3;
|
|||
-04f7 : 985180c0;
|
|||
-04f8 : ff3f0b0b;
|
|||
-04f9 : 80f7f051;
|
|||
-04fa : 80c0f53f;
|
|||
+04f6 : b83f83e3;
|
|||
+04f7 : 985180c1;
|
|||
+04f8 : b63f0b0b;
|
|||
+04f9 : 80f8a851;
|
|||
+04fa : 80c1ac3f;
|
|||
04fb : 78842e09;
|
|||
04fc : 8106fac0;
|
|||
04fd : 38feff39;
|
|||
-04fe : 76802e82;
|
|||
-04ff : fe387615;
|
|||
+04fe : 76802e83;
|
|||
+04ff : 82387615;
|
|||
0500 : 7009709f;
|
|||
0501 : 2c720678;
|
|||
0502 : 0852bf06;
|
|||
@@ -1295,2734 +1295,2750 @@
|
|||
0504 : 780c5555;
|
|||
0505 : fcd73981;
|
|||
0506 : 805180c0;
|
|||
-0507 : bd3ffac4;
|
|||
+0507 : f43ffac4;
|
|||
0508 : 3974f9a7;
|
|||
0509 : 380b0b80;
|
|||
-050a : f8a85180;
|
|||
-050b : c0b23f0b;
|
|||
-050c : 0b80fac0;
|
|||
-050d : 5180c0a8;
|
|||
+050a : f8e05180;
|
|||
+050b : c0e93f0b;
|
|||
+050c : 0b80fb80;
|
|||
+050d : 5180c0df;
|
|||
050e : 3f0b0b80;
|
|||
-050f : f7dc5180;
|
|||
-0510 : c09e3f80;
|
|||
-0511 : fdc00870;
|
|||
+050f : f8945180;
|
|||
+0510 : c0d53f80;
|
|||
+0511 : fe800870;
|
|||
0512 : 08bf0656;
|
|||
0513 : 5678822e;
|
|||
0514 : 098106f9;
|
|||
0515 : a438ffa0;
|
|||
0516 : 390b0b80;
|
|||
-0517 : f8b851bf;
|
|||
-0518 : ff3f0b0b;
|
|||
-0519 : 80fac051;
|
|||
-051a : bff63f0b;
|
|||
-051b : 0b80f7dc;
|
|||
-051c : 51bfed3f;
|
|||
-051d : 80fdc008;
|
|||
-051e : 7008bf06;
|
|||
-051f : 56567882;
|
|||
-0520 : 2e098106;
|
|||
-0521 : f8f338fe;
|
|||
-0522 : ef390b0b;
|
|||
-0523 : 80f8d451;
|
|||
-0524 : bfce3f0b;
|
|||
-0525 : 0b80fac0;
|
|||
-0526 : 51bfc53f;
|
|||
-0527 : 0b0b80f7;
|
|||
-0528 : d051bfbc;
|
|||
-0529 : 3f80fdc0;
|
|||
-052a : 08700870;
|
|||
-052b : 8c2c8f06;
|
|||
-052c : 57555678;
|
|||
-052d : 812e0981;
|
|||
-052e : 06f7f838;
|
|||
-052f : fb96397b;
|
|||
-0530 : 812e0981;
|
|||
-0531 : 06f9d838;
|
|||
-0532 : 7b5bfbbe;
|
|||
-0533 : 3974f7b1;
|
|||
-0534 : 380b0b80;
|
|||
-0535 : f8e851bf;
|
|||
-0536 : 873f0b0b;
|
|||
-0537 : 80fac051;
|
|||
-0538 : befe3f0b;
|
|||
-0539 : 0b80f7d0;
|
|||
-053a : 51bef53f;
|
|||
-053b : 80fdc008;
|
|||
-053c : 7008708c;
|
|||
-053d : 2c8f0657;
|
|||
-053e : 55567881;
|
|||
-053f : 2e098106;
|
|||
-0540 : f7b138fa;
|
|||
-0541 : cf390b0b;
|
|||
-0542 : 80f8f051;
|
|||
-0543 : bed23f0b;
|
|||
-0544 : 0b80fac0;
|
|||
-0545 : 51bec93f;
|
|||
-0546 : 0b0b80f7;
|
|||
-0547 : d051bec0;
|
|||
-0548 : 3f80fdc0;
|
|||
-0549 : 08700870;
|
|||
-054a : 8c2c8f06;
|
|||
-054b : 57555678;
|
|||
-054c : 812e0981;
|
|||
-054d : 06f6fc38;
|
|||
-054e : fa9a3978;
|
|||
-054f : 842eb638;
|
|||
-0550 : 78842494;
|
|||
-0551 : 3878832e;
|
|||
-0552 : 83d938fe;
|
|||
-0553 : 1983e0b4;
|
|||
-0554 : 0c807058;
|
|||
-0555 : 5cfa9a39;
|
|||
-0556 : 78852e83;
|
|||
-0557 : cd387886;
|
|||
-0558 : 2e098106;
|
|||
-0559 : e63883e0;
|
|||
-055a : b851eaf9;
|
|||
-055b : 3ffe1983;
|
|||
-055c : e0b40cdd;
|
|||
-055d : 3983e3d8;
|
|||
-055e : 51f03981;
|
|||
-055f : 8051bdda;
|
|||
-0560 : 3f811570;
|
|||
-0561 : 83c19c35;
|
|||
-0562 : 7083ffff;
|
|||
-0563 : 06535154;
|
|||
-0564 : bedd3f0b;
|
|||
-0565 : 0b80f7e4;
|
|||
-0566 : 51bdc53f;
|
|||
-0567 : 78832e09;
|
|||
-0568 : 8106f6f8;
|
|||
-0569 : 38fcac39;
|
|||
-056a : 818051bd;
|
|||
-056b : ad3ff7d9;
|
|||
-056c : 39818051;
|
|||
-056d : bda43f0b;
|
|||
-056e : 0b80f890;
|
|||
-056f : 51bda13f;
|
|||
-0570 : 78882e09;
|
|||
-0571 : 8106f7e7;
|
|||
-0572 : 38f9b639;
|
|||
-0573 : 815c7433;
|
|||
-0574 : 7081ff06;
|
|||
-0575 : 515473f4;
|
|||
-0576 : 8f387433;
|
|||
-0577 : 7081ff06;
|
|||
-0578 : 51547380;
|
|||
-0579 : 2ee838f3;
|
|||
-057a : ff39ff57;
|
|||
-057b : 87a9c051;
|
|||
-057c : ddc23fba;
|
|||
-057d : c23f8058;
|
|||
-057e : f3fb3981;
|
|||
-057f : 5787a9c0;
|
|||
-0580 : 51ddb13f;
|
|||
-0581 : bab13f80;
|
|||
-0582 : 58f3ea39;
|
|||
-0583 : ff19fd11;
|
|||
-0584 : 5e597880;
|
|||
-0585 : 25f3d138;
|
|||
-0586 : 7559f3ca;
|
|||
-0587 : 39818051;
|
|||
-0588 : bcb83ff5;
|
|||
-0589 : 8e398058;
|
|||
-058a : 83e39818;
|
|||
-058b : 703383e3;
|
|||
-058c : d81a5758;
|
|||
-058d : 54743374;
|
|||
-058e : 3483e2c8;
|
|||
-058f : 18567533;
|
|||
-0590 : 753483e0;
|
|||
-0591 : b8185473;
|
|||
-0592 : 33763476;
|
|||
-0593 : 74348118;
|
|||
-0594 : 58778f2e;
|
|||
-0595 : f8973883;
|
|||
-0596 : e3981870;
|
|||
-0597 : 3383e3d8;
|
|||
-0598 : 1a575854;
|
|||
-0599 : 74337434;
|
|||
-059a : 83e2c818;
|
|||
-059b : 56753375;
|
|||
-059c : 3483e0b8;
|
|||
-059d : 18547333;
|
|||
-059e : 76347674;
|
|||
-059f : 34811858;
|
|||
-05a0 : 778f2e09;
|
|||
-05a1 : 8106ffa0;
|
|||
-05a2 : 38f7e239;
|
|||
-05a3 : 805883e0;
|
|||
-05a4 : b8187033;
|
|||
-05a5 : 83e2c81a;
|
|||
-05a6 : 57585474;
|
|||
-05a7 : 33743483;
|
|||
-05a8 : e3d81856;
|
|||
-05a9 : 75337534;
|
|||
-05aa : 83e39818;
|
|||
-05ab : 54733376;
|
|||
-05ac : 34767434;
|
|||
-05ad : 81185877;
|
|||
-05ae : 8f2ef7b1;
|
|||
-05af : 3883e0b8;
|
|||
-05b0 : 18703383;
|
|||
-05b1 : e2c81a57;
|
|||
-05b2 : 58547433;
|
|||
-05b3 : 743483e3;
|
|||
-05b4 : d8185675;
|
|||
-05b5 : 33753483;
|
|||
-05b6 : e3981854;
|
|||
-05b7 : 73337634;
|
|||
-05b8 : 76743481;
|
|||
-05b9 : 1858778f;
|
|||
-05ba : 2e098106;
|
|||
-05bb : ffa038f6;
|
|||
-05bc : fc390b0b;
|
|||
-05bd : 80f8f851;
|
|||
-05be : bae63f0b;
|
|||
-05bf : 0b80fac0;
|
|||
-05c0 : 51badd3f;
|
|||
-05c1 : 0b0b80f7;
|
|||
-05c2 : dc51bad4;
|
|||
-05c3 : 3f80fdc0;
|
|||
-05c4 : 087008bf;
|
|||
-05c5 : 06565678;
|
|||
-05c6 : 822e0981;
|
|||
-05c7 : 06f3da38;
|
|||
-05c8 : f9d63983;
|
|||
-05c9 : e39851fc;
|
|||
-05ca : c13983e2;
|
|||
-05cb : c851fcba;
|
|||
-05cc : 390b0b80;
|
|||
-05cd : f98c51ba;
|
|||
-05ce : a73f0b0b;
|
|||
-05cf : 80fac051;
|
|||
-05d0 : ba9e3f0b;
|
|||
-05d1 : 0b80f7dc;
|
|||
-05d2 : 51ba953f;
|
|||
-05d3 : 80fdc008;
|
|||
-05d4 : 7008bf06;
|
|||
-05d5 : 56567882;
|
|||
-05d6 : 2e098106;
|
|||
-05d7 : f39b38f9;
|
|||
-05d8 : 9739fc3d;
|
|||
-05d9 : 0d7680fd;
|
|||
-05da : a0085555;
|
|||
-05db : 73087081;
|
|||
-05dc : 32708106;
|
|||
-05dd : 51515372;
|
|||
-05de : f33883e3;
|
|||
-05df : 8c088605;
|
|||
-05e0 : 51b4df3f;
|
|||
-05e1 : 80fda008;
|
|||
-05e2 : 70087081;
|
|||
-05e3 : 06515153;
|
|||
-05e4 : 72802e93;
|
|||
-05e5 : 38ebc33f;
|
|||
-05e6 : 80fda008;
|
|||
-05e7 : 70087081;
|
|||
-05e8 : 06515153;
|
|||
-05e9 : 72ef38b5;
|
|||
-05ea : b03f83e0;
|
|||
-05eb : 80087534;
|
|||
-05ec : b5a73f83;
|
|||
-05ed : e0800881;
|
|||
-05ee : 1634b59d;
|
|||
-05ef : 3f83e080;
|
|||
-05f0 : 08821634;
|
|||
-05f1 : b5933f83;
|
|||
-05f2 : e0800883;
|
|||
-05f3 : 1634b589;
|
|||
-05f4 : 3f83e080;
|
|||
-05f5 : 08841634;
|
|||
-05f6 : 80fda008;
|
|||
-05f7 : 54730870;
|
|||
-05f8 : 81327081;
|
|||
-05f9 : 06515153;
|
|||
-05fa : 72f3380b;
|
|||
-05fb : 0b80f9a8;
|
|||
-05fc : 51b8ed3f;
|
|||
-05fd : 743383e0;
|
|||
-05fe : c8348115;
|
|||
-05ff : 3383e0c9;
|
|||
-0600 : 34821533;
|
|||
-0601 : 83e0ca34;
|
|||
-0602 : 83153383;
|
|||
-0603 : e0cb3484;
|
|||
-0604 : 5283e0c8;
|
|||
-0605 : 51ddda3f;
|
|||
-0606 : 83e08008;
|
|||
-0607 : 81ff0675;
|
|||
-0608 : 335253b9;
|
|||
-0609 : ca3f8115;
|
|||
-060a : 3351b9c3;
|
|||
-060b : 3f821533;
|
|||
-060c : 51b9bc3f;
|
|||
-060d : 83153351;
|
|||
-060e : b9b53f84;
|
|||
-060f : 153351b9;
|
|||
-0610 : ae3f7251;
|
|||
-0611 : b9a93f84;
|
|||
-0612 : 15335572;
|
|||
-0613 : 752ebd38;
|
|||
-0614 : 0b0b80f9;
|
|||
-0615 : b051b888;
|
|||
-0616 : 3f83e38c;
|
|||
-0617 : 08a82e80;
|
|||
-0618 : cb38a80b;
|
|||
-0619 : 83e38c0c;
|
|||
-061a : 0b0b80f9;
|
|||
-061b : b851b7f0;
|
|||
-061c : 3f83e38e;
|
|||
-061d : 2251b8f7;
|
|||
-061e : 3f0b0b80;
|
|||
-061f : fac051b7;
|
|||
-0620 : df3f80e4;
|
|||
-0621 : 51d8ad3f;
|
|||
-0622 : 863d0d04;
|
|||
-0623 : b4a13f83;
|
|||
-0624 : e0800880;
|
|||
-0625 : 2ee3380b;
|
|||
-0626 : 0b80f9b0;
|
|||
-0627 : 51b7c13f;
|
|||
-0628 : 83e38c08;
|
|||
-0629 : a82e0981;
|
|||
-062a : 06ffb738;
|
|||
-062b : 860b83e3;
|
|||
-062c : 8c0c0b0b;
|
|||
-062d : 80f9c051;
|
|||
-062e : b7a63f83;
|
|||
-062f : e38e2251;
|
|||
-0630 : b8ad3fff;
|
|||
-0631 : b439fc3d;
|
|||
-0632 : 0d765574;
|
|||
-0633 : 83e0b008;
|
|||
-0634 : 2eaf3880;
|
|||
-0635 : 547451ac;
|
|||
-0636 : 963f83e0;
|
|||
-0637 : 800881ff;
|
|||
-0638 : 06ff1570;
|
|||
-0639 : 81ff0672;
|
|||
-063a : 30709f2a;
|
|||
-063b : 51525653;
|
|||
-063c : 5373802e;
|
|||
-063d : 843871dd;
|
|||
-063e : 3872fe38;
|
|||
-063f : 7483e0b0;
|
|||
-0640 : 0c863d0d;
|
|||
-0641 : 04fc3d0d;
|
|||
-0642 : 76028405;
|
|||
-0643 : a2052202;
|
|||
-0644 : 8805a605;
|
|||
-0645 : 227a5455;
|
|||
-0646 : 5555ffaa;
|
|||
-0647 : 3f72802e;
|
|||
-0648 : a13883e3;
|
|||
-0649 : e8143375;
|
|||
-064a : 70810557;
|
|||
-064b : 34811470;
|
|||
-064c : 83ffff06;
|
|||
-064d : ff157083;
|
|||
-064e : ffff0656;
|
|||
-064f : 52555272;
|
|||
-0650 : e138800b;
|
|||
-0651 : 83e0800c;
|
|||
-0652 : 863d0d04;
|
|||
-0653 : fe3d0d74;
|
|||
-0654 : 02840596;
|
|||
-0655 : 05225353;
|
|||
-0656 : 71802e97;
|
|||
-0657 : 38727081;
|
|||
-0658 : 05543351;
|
|||
-0659 : b1d43fff;
|
|||
-065a : 127083ff;
|
|||
-065b : ff065152;
|
|||
-065c : 71eb3884;
|
|||
-065d : 3d0d04fe;
|
|||
-065e : 3d0d0292;
|
|||
-065f : 05220b0b;
|
|||
-0660 : 80f9c852;
|
|||
-0661 : 53b5d93f;
|
|||
-0662 : 7251b6e3;
|
|||
-0663 : 3f82ac51;
|
|||
-0664 : d6a23f80;
|
|||
-0665 : c351b1a2;
|
|||
-0666 : 3f819651;
|
|||
-0667 : d6963f72;
|
|||
-0668 : 5283e0c8;
|
|||
-0669 : 51ffa53f;
|
|||
-066a : 725283e0;
|
|||
-066b : c851dac1;
|
|||
-066c : 3f83e080;
|
|||
-066d : 0881ff06;
|
|||
-066e : 705253b0;
|
|||
-066f : fd3f0b0b;
|
|||
-0670 : 80f9d051;
|
|||
-0671 : b59a3f72;
|
|||
-0672 : 51b6a43f;
|
|||
-0673 : 0b0b80f8;
|
|||
-0674 : d051b58c;
|
|||
-0675 : 3f843d0d;
|
|||
-0676 : 04f03d0d;
|
|||
-0677 : 800b0b0b;
|
|||
-0678 : 80f9d852;
|
|||
-0679 : 5cb4f93f;
|
|||
-067a : 0b0b80f9;
|
|||
-067b : e051b4f0;
|
|||
-067c : 3fd9be3f;
|
|||
-067d : 83e08008;
|
|||
-067e : 81ff0654;
|
|||
-067f : 737c2e09;
|
|||
-0680 : 810682ee;
|
|||
-0681 : 380b0b80;
|
|||
-0682 : f68851b4;
|
|||
-0683 : d33f0b0b;
|
|||
-0684 : 80f9ec51;
|
|||
-0685 : b4ca3f83;
|
|||
-0686 : e3ac5198;
|
|||
-0687 : e53f83e0;
|
|||
-0688 : 800882ce;
|
|||
-0689 : 380b0b80;
|
|||
-068a : f68851b4;
|
|||
-068b : b33f0b0b;
|
|||
-068c : 80f9f451;
|
|||
-068d : b4aa3f0b;
|
|||
-068e : 0b80f5f0;
|
|||
-068f : 5283e2f4;
|
|||
-0690 : 51a2c93f;
|
|||
-0691 : 83e08008;
|
|||
-0692 : 82a8380b;
|
|||
-0693 : 0b80f688;
|
|||
-0694 : 51b48d3f;
|
|||
-0695 : 83e09452;
|
|||
-0696 : 83e2f451;
|
|||
-0697 : a3c13f83;
|
|||
-0698 : e08008a5;
|
|||
-0699 : 3883e09d;
|
|||
-069a : 33547380;
|
|||
-069b : 2e9b3883;
|
|||
-069c : e09d51b3;
|
|||
-069d : eb3f83e0;
|
|||
-069e : 945283e2;
|
|||
-069f : f451a39f;
|
|||
-06a0 : 3f83e080;
|
|||
-06a1 : 08802edd;
|
|||
-06a2 : 3880550b;
|
|||
-06a3 : 0b80fa80;
|
|||
-06a4 : 15547333;
|
|||
-06a5 : 83e39816;
|
|||
-06a6 : 34733383;
|
|||
-06a7 : e3d81634;
|
|||
-06a8 : 733383e2;
|
|||
-06a9 : c8163473;
|
|||
-06aa : 3383e0b8;
|
|||
-06ab : 16347333;
|
|||
-06ac : 5473802e;
|
|||
-06ad : 8c388115;
|
|||
-06ae : 55748f2e;
|
|||
-06af : 098106cb;
|
|||
-06b0 : 38810b83;
|
|||
-06b1 : e0b40c83;
|
|||
-06b2 : e39851d8;
|
|||
-06b3 : ef3f8051;
|
|||
-06b4 : d7993f80;
|
|||
-06b5 : fce00854;
|
|||
-06b6 : 807434d6;
|
|||
-06b7 : 943f8151;
|
|||
-06b8 : d7893f80;
|
|||
-06b9 : 51d7a83f;
|
|||
-06ba : 83e38c08;
|
|||
-06bb : 860551ad;
|
|||
-06bc : f13f8f3d;
|
|||
-06bd : 923d5f5d;
|
|||
-06be : 7c51f8e6;
|
|||
-06bf : 3f811c5c;
|
|||
-06c0 : 7b842e80;
|
|||
-06c1 : fa388f3d;
|
|||
-06c2 : 33cf1170;
|
|||
-06c3 : 81ff0651;
|
|||
-06c4 : 55557382;
|
|||
-06c5 : 26e23874;
|
|||
-06c6 : 8f065473;
|
|||
-06c7 : 83e0b408;
|
|||
-06c8 : 2e983873;
|
|||
-06c9 : 83e0b40c;
|
|||
-06ca : 73822e82;
|
|||
-06cb : f0387382;
|
|||
-06cc : 2482c438;
|
|||
-06cd : 73812e80;
|
|||
-06ce : ec3883e2;
|
|||
-06cf : e408802e;
|
|||
-06d0 : ffb63802;
|
|||
-06d1 : b9053354;
|
|||
-06d2 : 7380d22e;
|
|||
-06d3 : 82d93873;
|
|||
-06d4 : 80d22482;
|
|||
-06d5 : bb3873bf;
|
|||
-06d6 : 2e80d438;
|
|||
-06d7 : aced3f7c;
|
|||
-06d8 : 51f7ff3f;
|
|||
-06d9 : 811c5c7b;
|
|||
-06da : 842e0981;
|
|||
-06db : 06ff9738;
|
|||
-06dc : 8e390b0b;
|
|||
-06dd : 80f68051;
|
|||
-06de : b1e63f92;
|
|||
-06df : 3d0d0480;
|
|||
-06e0 : fdb80870;
|
|||
-06e1 : 0870822a;
|
|||
-06e2 : 70810651;
|
|||
-06e3 : 51515473;
|
|||
-06e4 : 802efef2;
|
|||
-06e5 : 380b0b80;
|
|||
-06e6 : fa8c51b1;
|
|||
-06e7 : c33f8151;
|
|||
-06e8 : d5ed3fff;
|
|||
-06e9 : 3983e398;
|
|||
-06ea : 51d7913f;
|
|||
-06eb : ff8c390b;
|
|||
-06ec : 0b80f7dc;
|
|||
-06ed : 51b1a93f;
|
|||
-06ee : 02bb0533;
|
|||
-06ef : 70882b81;
|
|||
-06f0 : fe800602;
|
|||
-06f1 : 8805ba05;
|
|||
-06f2 : 33710551;
|
|||
-06f3 : 5555adb8;
|
|||
-06f4 : 3f80c151;
|
|||
-06f5 : ace43fd1;
|
|||
-06f6 : f03f860b;
|
|||
-06f7 : 83e0c834;
|
|||
-06f8 : 815283e0;
|
|||
-06f9 : c851b484;
|
|||
-06fa : 3f8151fb;
|
|||
-06fb : 8a3f7384;
|
|||
-06fc : fd38860b;
|
|||
-06fd : 83e38c0c;
|
|||
-06fe : 0b0b80f9;
|
|||
-06ff : c051b0e0;
|
|||
-0700 : 3f83e38e;
|
|||
-0701 : 2251b1e7;
|
|||
-0702 : 3f0b0b80;
|
|||
-0703 : fa9451b0;
|
|||
-0704 : cf3facf4;
|
|||
-0705 : 3f80c151;
|
|||
-0706 : aca03fd1;
|
|||
-0707 : ac3f980b;
|
|||
-0708 : 83e2ec33;
|
|||
-0709 : 83e2ed33;
|
|||
-070a : 71882b07;
|
|||
-070b : 56585573;
|
|||
-070c : 81802e83;
|
|||
-070d : eb38b855;
|
|||
-070e : 7483e0c8;
|
|||
-070f : 34ff0b83;
|
|||
-0710 : e0c934e0;
|
|||
-0711 : 0b83e0ca;
|
|||
-0712 : 34800b83;
|
|||
-0713 : e0cb3484;
|
|||
-0714 : 5283e0c8;
|
|||
-0715 : 51b3953f;
|
|||
-0716 : 8451fa9b;
|
|||
-0717 : 3f83e0c8;
|
|||
-0718 : 3351b18b;
|
|||
-0719 : 3f0b0b80;
|
|||
-071a : fa9c51af;
|
|||
-071b : f33facdd;
|
|||
-071c : 3faad83f;
|
|||
-071d : fde93973;
|
|||
-071e : 832e81f1;
|
|||
-071f : 3873842e;
|
|||
-0720 : 098106fd;
|
|||
-0721 : b53883e0;
|
|||
-0722 : b851d5b0;
|
|||
-0723 : 3ffdab39;
|
|||
-0724 : 7380d32e;
|
|||
-0725 : fef338aa;
|
|||
-0726 : b23ffdc3;
|
|||
-0727 : 3983e3d8;
|
|||
-0728 : 51d5993f;
|
|||
-0729 : fd943902;
|
|||
-072a : bb053370;
|
|||
-072b : 882b81fe;
|
|||
-072c : 80060288;
|
|||
-072d : 05ba0533;
|
|||
-072e : 57760557;
|
|||
-072f : 588055ab;
|
|||
-0730 : c73f80c1;
|
|||
-0731 : 51aaf33f;
|
|||
-0732 : 74923d23;
|
|||
-0733 : 0b0b80fa;
|
|||
-0734 : a451af8c;
|
|||
-0735 : 3f7551b0;
|
|||
-0736 : 963f0b0b;
|
|||
-0737 : 80fab451;
|
|||
-0738 : aefe3f83;
|
|||
-0739 : e3880875;
|
|||
-073a : 2e098106;
|
|||
-073b : 81c03883;
|
|||
-073c : e3900857;
|
|||
-073d : 83762580;
|
|||
-073e : fe3883e2;
|
|||
-073f : ec3383e2;
|
|||
-0740 : ed337188;
|
|||
-0741 : 2b07fc18;
|
|||
-0742 : 71297905;
|
|||
-0743 : 83800570;
|
|||
-0744 : 83ffff06;
|
|||
-0745 : 54595658;
|
|||
-0746 : afd53f0b;
|
|||
-0747 : 0b80fac0;
|
|||
-0748 : 51aebd3f;
|
|||
-0749 : 76519ade;
|
|||
-074a : 3f7d5374;
|
|||
-074b : 83ffff06;
|
|||
-074c : 705383e0;
|
|||
-074d : c8525498;
|
|||
-074e : af3f7351;
|
|||
-074f : f8b93f73;
|
|||
-0750 : 52848880;
|
|||
-0751 : 51d3aa3f;
|
|||
-0752 : 83e08008;
|
|||
-0753 : 81ff060b;
|
|||
-0754 : 0b80faac;
|
|||
-0755 : 5254ae88;
|
|||
-0756 : 3f7351af;
|
|||
-0757 : 923f0b0b;
|
|||
-0758 : 80fac051;
|
|||
-0759 : adfa3faa;
|
|||
-075a : e43ffe85;
|
|||
-075b : 3983e2c8;
|
|||
-075c : 51d3c93f;
|
|||
-075d : fbc43975;
|
|||
-075e : 81802917;
|
|||
-075f : ff800557;
|
|||
-0760 : 81807783;
|
|||
-0761 : ffff0652;
|
|||
-0762 : 55aee43f;
|
|||
-0763 : 0b0b80fa;
|
|||
-0764 : c051adcc;
|
|||
-0765 : 3f765199;
|
|||
-0766 : ed3f7d53;
|
|||
-0767 : 7483ffff;
|
|||
-0768 : 06705383;
|
|||
-0769 : e0c85254;
|
|||
-076a : 97be3fff;
|
|||
-076b : 8d3983e3;
|
|||
-076c : 940880fc;
|
|||
-076d : 055480fd;
|
|||
-076e : 527351b1;
|
|||
-076f : b83f83e0;
|
|||
-0770 : 80080b0b;
|
|||
-0771 : 80f6e452;
|
|||
-0772 : 5aad953f;
|
|||
-0773 : 82762584;
|
|||
-0774 : 8f387582;
|
|||
-0775 : e82e83e2;
|
|||
-0776 : 387582e9;
|
|||
-0777 : 2e81f638;
|
|||
-0778 : 7582f024;
|
|||
-0779 : 8197380b;
|
|||
-077a : 0b80fab8;
|
|||
-077b : 51acf13f;
|
|||
-077c : 81805473;
|
|||
-077d : 51f7803f;
|
|||
-077e : 73528488;
|
|||
-077f : 8051d1f1;
|
|||
-0780 : 3f83e080;
|
|||
-0781 : 0881ff06;
|
|||
-0782 : 0b0b80fa;
|
|||
-0783 : ac5254ac;
|
|||
-0784 : cf3f7351;
|
|||
-0785 : add93f0b;
|
|||
-0786 : 0b80fac0;
|
|||
-0787 : 51fec539;
|
|||
-0788 : 83e2ea33;
|
|||
-0789 : 83e2eb33;
|
|||
-078a : 71882b07;
|
|||
-078b : 5556ad80;
|
|||
-078c : 7427fc84;
|
|||
-078d : 38819855;
|
|||
-078e : 7483e0c8;
|
|||
-078f : 34ff0b83;
|
|||
-0790 : e0c934e0;
|
|||
-0791 : 0b83e0ca;
|
|||
-0792 : 34800b83;
|
|||
-0793 : e0cb3484;
|
|||
-0794 : 5283e0c8;
|
|||
-0795 : 51af953f;
|
|||
-0796 : 8451f69b;
|
|||
-0797 : 3f83e0c8;
|
|||
-0798 : 3351ad8b;
|
|||
-0799 : 3f0b0b80;
|
|||
-079a : fa9c51fb;
|
|||
-079b : fe39a80b;
|
|||
-079c : 83e38c0c;
|
|||
-079d : 0b0b80f9;
|
|||
-079e : b851fb82;
|
|||
-079f : 390b0b80;
|
|||
-07a0 : fac451ab;
|
|||
-07a1 : db3f7580;
|
|||
-07a2 : fd29fd97;
|
|||
-07a3 : d3055197;
|
|||
-07a4 : f53f7d53;
|
|||
-07a5 : 80fd5283;
|
|||
-07a6 : e0c85195;
|
|||
-07a7 : cb3f913d;
|
|||
-07a8 : 227083ff;
|
|||
-07a9 : ff068118;
|
|||
-07aa : 58555773;
|
|||
-07ab : 80fc2683;
|
|||
-07ac : 38745675;
|
|||
-07ad : 882c5473;
|
|||
-07ae : 83e1c534;
|
|||
-07af : 7583e1c6;
|
|||
-07b0 : 347683e1;
|
|||
-07b1 : c7340b0b;
|
|||
-07b2 : 80fab851;
|
|||
-07b3 : ab923f81;
|
|||
-07b4 : 8054fe9f;
|
|||
+0517 : f8f05180;
|
|||
+0518 : c0b53f0b;
|
|||
+0519 : 0b80fb80;
|
|||
+051a : 5180c0ab;
|
|||
+051b : 3f0b0b80;
|
|||
+051c : f8945180;
|
|||
+051d : c0a13f80;
|
|||
+051e : fe800870;
|
|||
+051f : 08bf0656;
|
|||
+0520 : 5678822e;
|
|||
+0521 : 098106f8;
|
|||
+0522 : f038feec;
|
|||
+0523 : 390b0b80;
|
|||
+0524 : f98c5180;
|
|||
+0525 : c0813f0b;
|
|||
+0526 : 0b80fb80;
|
|||
+0527 : 51bff83f;
|
|||
+0528 : 0b0b80f8;
|
|||
+0529 : 8851bfef;
|
|||
+052a : 3f80fe80;
|
|||
+052b : 08700870;
|
|||
+052c : 8c2c8f06;
|
|||
+052d : 57555678;
|
|||
+052e : 812e0981;
|
|||
+052f : 06f7f438;
|
|||
+0530 : fb92397b;
|
|||
+0531 : 812e0981;
|
|||
+0532 : 06f9d438;
|
|||
+0533 : 7b5bfbba;
|
|||
+0534 : 3974f7ad;
|
|||
+0535 : 380b0b80;
|
|||
+0536 : f9a051bf;
|
|||
+0537 : ba3f0b0b;
|
|||
+0538 : 80fb8051;
|
|||
+0539 : bfb13f0b;
|
|||
+053a : 0b80f888;
|
|||
+053b : 51bfa83f;
|
|||
+053c : 80fe8008;
|
|||
+053d : 7008708c;
|
|||
+053e : 2c8f0657;
|
|||
+053f : 55567881;
|
|||
+0540 : 2e098106;
|
|||
+0541 : f7ad38fa;
|
|||
+0542 : cb390b0b;
|
|||
+0543 : 80f9a851;
|
|||
+0544 : bf853f0b;
|
|||
+0545 : 0b80fb80;
|
|||
+0546 : 51befc3f;
|
|||
+0547 : 0b0b80f8;
|
|||
+0548 : 8851bef3;
|
|||
+0549 : 3f80fe80;
|
|||
+054a : 08700870;
|
|||
+054b : 8c2c8f06;
|
|||
+054c : 57555678;
|
|||
+054d : 812e0981;
|
|||
+054e : 06f6f838;
|
|||
+054f : fa963978;
|
|||
+0550 : 842eb638;
|
|||
+0551 : 78842494;
|
|||
+0552 : 3878832e;
|
|||
+0553 : 83d938fe;
|
|||
+0554 : 1983e0b4;
|
|||
+0555 : 0c807058;
|
|||
+0556 : 5cfa9639;
|
|||
+0557 : 78852e83;
|
|||
+0558 : cd387886;
|
|||
+0559 : 2e098106;
|
|||
+055a : e63883e0;
|
|||
+055b : b851eaf5;
|
|||
+055c : 3ffe1983;
|
|||
+055d : e0b40cdd;
|
|||
+055e : 3983e3d8;
|
|||
+055f : 51f03981;
|
|||
+0560 : 8051be8d;
|
|||
+0561 : 3f811570;
|
|||
+0562 : 83c19c35;
|
|||
+0563 : 7083ffff;
|
|||
+0564 : 06535154;
|
|||
+0565 : bf903f0b;
|
|||
+0566 : 0b80f89c;
|
|||
+0567 : 51bdf83f;
|
|||
+0568 : 78832e09;
|
|||
+0569 : 8106f6f4;
|
|||
+056a : 38fca839;
|
|||
+056b : 818051bd;
|
|||
+056c : e03ff7d5;
|
|||
+056d : 39818051;
|
|||
+056e : bdd73f0b;
|
|||
+056f : 0b80f8c8;
|
|||
+0570 : 51bdd43f;
|
|||
+0571 : 78882e09;
|
|||
+0572 : 8106f7e3;
|
|||
+0573 : 38f9b239;
|
|||
+0574 : 815c7433;
|
|||
+0575 : 7081ff06;
|
|||
+0576 : 515473f4;
|
|||
+0577 : 8b387433;
|
|||
+0578 : 7081ff06;
|
|||
+0579 : 51547380;
|
|||
+057a : 2ee838f3;
|
|||
+057b : fb39ff57;
|
|||
+057c : 87a9c051;
|
|||
+057d : ddbe3fba;
|
|||
+057e : f53f8058;
|
|||
+057f : f3f73981;
|
|||
+0580 : 5787a9c0;
|
|||
+0581 : 51ddad3f;
|
|||
+0582 : bae43f80;
|
|||
+0583 : 58f3e639;
|
|||
+0584 : ff19fd11;
|
|||
+0585 : 5e597880;
|
|||
+0586 : 25f3cd38;
|
|||
+0587 : 7559f3c6;
|
|||
+0588 : 39818051;
|
|||
+0589 : bceb3ff5;
|
|||
+058a : 8a398058;
|
|||
+058b : 83e39818;
|
|||
+058c : 703383e3;
|
|||
+058d : d81a5758;
|
|||
+058e : 54743374;
|
|||
+058f : 3483e2c8;
|
|||
+0590 : 18567533;
|
|||
+0591 : 753483e0;
|
|||
+0592 : b8185473;
|
|||
+0593 : 33763476;
|
|||
+0594 : 74348118;
|
|||
+0595 : 58778f2e;
|
|||
+0596 : f8933883;
|
|||
+0597 : e3981870;
|
|||
+0598 : 3383e3d8;
|
|||
+0599 : 1a575854;
|
|||
+059a : 74337434;
|
|||
+059b : 83e2c818;
|
|||
+059c : 56753375;
|
|||
+059d : 3483e0b8;
|
|||
+059e : 18547333;
|
|||
+059f : 76347674;
|
|||
+05a0 : 34811858;
|
|||
+05a1 : 778f2e09;
|
|||
+05a2 : 8106ffa0;
|
|||
+05a3 : 38f7de39;
|
|||
+05a4 : 805883e0;
|
|||
+05a5 : b8187033;
|
|||
+05a6 : 83e2c81a;
|
|||
+05a7 : 57585474;
|
|||
+05a8 : 33743483;
|
|||
+05a9 : e3d81856;
|
|||
+05aa : 75337534;
|
|||
+05ab : 83e39818;
|
|||
+05ac : 54733376;
|
|||
+05ad : 34767434;
|
|||
+05ae : 81185877;
|
|||
+05af : 8f2ef7ad;
|
|||
+05b0 : 3883e0b8;
|
|||
+05b1 : 18703383;
|
|||
+05b2 : e2c81a57;
|
|||
+05b3 : 58547433;
|
|||
+05b4 : 743483e3;
|
|||
+05b5 : d8185675;
|
|||
+05b6 : 33753483;
|
|||
+05b7 : e3981854;
|
|||
+05b8 : 73337634;
|
|||
+05b9 : 76743481;
|
|||
+05ba : 1858778f;
|
|||
+05bb : 2e098106;
|
|||
+05bc : ffa038f6;
|
|||
+05bd : f8390b0b;
|
|||
+05be : 80f9b051;
|
|||
+05bf : bb993f0b;
|
|||
+05c0 : 0b80fb80;
|
|||
+05c1 : 51bb903f;
|
|||
+05c2 : 0b0b80f8;
|
|||
+05c3 : 9451bb87;
|
|||
+05c4 : 3f80fe80;
|
|||
+05c5 : 087008bf;
|
|||
+05c6 : 06565678;
|
|||
+05c7 : 822e0981;
|
|||
+05c8 : 06f3d638;
|
|||
+05c9 : f9d23983;
|
|||
+05ca : e39851fc;
|
|||
+05cb : c13983e2;
|
|||
+05cc : c851fcba;
|
|||
+05cd : 390b0b80;
|
|||
+05ce : f9c451ba;
|
|||
+05cf : da3f0b0b;
|
|||
+05d0 : 80fb8051;
|
|||
+05d1 : bad13f0b;
|
|||
+05d2 : 0b80f894;
|
|||
+05d3 : 51bac83f;
|
|||
+05d4 : 80fe8008;
|
|||
+05d5 : 7008bf06;
|
|||
+05d6 : 56567882;
|
|||
+05d7 : 2e098106;
|
|||
+05d8 : f39738f9;
|
|||
+05d9 : 9339fc3d;
|
|||
+05da : 0d7680fd;
|
|||
+05db : e0085555;
|
|||
+05dc : 73087081;
|
|||
+05dd : 32708106;
|
|||
+05de : 51515372;
|
|||
+05df : f33883e3;
|
|||
+05e0 : 8c088605;
|
|||
+05e1 : 51b5923f;
|
|||
+05e2 : 80fde008;
|
|||
+05e3 : 70087081;
|
|||
+05e4 : 06515153;
|
|||
+05e5 : 72802e93;
|
|||
+05e6 : 38ebbf3f;
|
|||
+05e7 : 80fde008;
|
|||
+05e8 : 70087081;
|
|||
+05e9 : 06515153;
|
|||
+05ea : 72ef38b5;
|
|||
+05eb : e33f83e0;
|
|||
+05ec : 80087534;
|
|||
+05ed : b5da3f83;
|
|||
+05ee : e0800881;
|
|||
+05ef : 1634b5d0;
|
|||
+05f0 : 3f83e080;
|
|||
+05f1 : 08821634;
|
|||
+05f2 : b5c63f83;
|
|||
+05f3 : e0800883;
|
|||
+05f4 : 1634b5bc;
|
|||
+05f5 : 3f83e080;
|
|||
+05f6 : 08841634;
|
|||
+05f7 : 80fde008;
|
|||
+05f8 : 54730870;
|
|||
+05f9 : 81327081;
|
|||
+05fa : 06515153;
|
|||
+05fb : 72f3380b;
|
|||
+05fc : 0b80f9e0;
|
|||
+05fd : 51b9a03f;
|
|||
+05fe : 743383e0;
|
|||
+05ff : c8348115;
|
|||
+0600 : 3383e0c9;
|
|||
+0601 : 34821533;
|
|||
+0602 : 83e0ca34;
|
|||
+0603 : 83153383;
|
|||
+0604 : e0cb3484;
|
|||
+0605 : 5283e0c8;
|
|||
+0606 : 51ddd63f;
|
|||
+0607 : 83e08008;
|
|||
+0608 : 81ff0675;
|
|||
+0609 : 335253b9;
|
|||
+060a : fd3f8115;
|
|||
+060b : 3351b9f6;
|
|||
+060c : 3f821533;
|
|||
+060d : 51b9ef3f;
|
|||
+060e : 83153351;
|
|||
+060f : b9e83f84;
|
|||
+0610 : 153351b9;
|
|||
+0611 : e13f7251;
|
|||
+0612 : b9dc3f84;
|
|||
+0613 : 15335572;
|
|||
+0614 : 752ebd38;
|
|||
+0615 : 0b0b80f9;
|
|||
+0616 : e851b8bb;
|
|||
+0617 : 3f83e38c;
|
|||
+0618 : 08a82e80;
|
|||
+0619 : cb38a80b;
|
|||
+061a : 83e38c0c;
|
|||
+061b : 0b0b80f9;
|
|||
+061c : f051b8a3;
|
|||
+061d : 3f83e38e;
|
|||
+061e : 2251b9aa;
|
|||
+061f : 3f0b0b80;
|
|||
+0620 : fb8051b8;
|
|||
+0621 : 923f80e4;
|
|||
+0622 : 51d8a93f;
|
|||
+0623 : 863d0d04;
|
|||
+0624 : b4d43f83;
|
|||
+0625 : e0800880;
|
|||
+0626 : 2ee3380b;
|
|||
+0627 : 0b80f9e8;
|
|||
+0628 : 51b7f43f;
|
|||
+0629 : 83e38c08;
|
|||
+062a : a82e0981;
|
|||
+062b : 06ffb738;
|
|||
+062c : 860b83e3;
|
|||
+062d : 8c0c0b0b;
|
|||
+062e : 80f9f851;
|
|||
+062f : b7d93f83;
|
|||
+0630 : e38e2251;
|
|||
+0631 : b8e03fff;
|
|||
+0632 : b439fc3d;
|
|||
+0633 : 0d765574;
|
|||
+0634 : 83e0b008;
|
|||
+0635 : 2eaf3880;
|
|||
+0636 : 547451ac;
|
|||
+0637 : c93f83e0;
|
|||
+0638 : 800881ff;
|
|||
+0639 : 06ff1570;
|
|||
+063a : 81ff0672;
|
|||
+063b : 30709f2a;
|
|||
+063c : 51525653;
|
|||
+063d : 5373802e;
|
|||
+063e : 843871dd;
|
|||
+063f : 3872fe38;
|
|||
+0640 : 7483e0b0;
|
|||
+0641 : 0c863d0d;
|
|||
+0642 : 04fc3d0d;
|
|||
+0643 : 76028405;
|
|||
+0644 : a2052202;
|
|||
+0645 : 8805a605;
|
|||
+0646 : 227a5455;
|
|||
+0647 : 5555ffaa;
|
|||
+0648 : 3f72802e;
|
|||
+0649 : a13883e3;
|
|||
+064a : e8143375;
|
|||
+064b : 70810557;
|
|||
+064c : 34811470;
|
|||
+064d : 83ffff06;
|
|||
+064e : ff157083;
|
|||
+064f : ffff0656;
|
|||
+0650 : 52555272;
|
|||
+0651 : e138800b;
|
|||
+0652 : 83e0800c;
|
|||
+0653 : 863d0d04;
|
|||
+0654 : fe3d0d74;
|
|||
+0655 : 02840596;
|
|||
+0656 : 05225353;
|
|||
+0657 : 71802e97;
|
|||
+0658 : 38727081;
|
|||
+0659 : 05543351;
|
|||
+065a : b2873fff;
|
|||
+065b : 127083ff;
|
|||
+065c : ff065152;
|
|||
+065d : 71eb3884;
|
|||
+065e : 3d0d04fe;
|
|||
+065f : 3d0d0292;
|
|||
+0660 : 05220b0b;
|
|||
+0661 : 80fa8052;
|
|||
+0662 : 53b68c3f;
|
|||
+0663 : 7251b796;
|
|||
+0664 : 3f82ac51;
|
|||
+0665 : d69e3f80;
|
|||
+0666 : c351b1d5;
|
|||
+0667 : 3f819651;
|
|||
+0668 : d6923f72;
|
|||
+0669 : 5283e0c8;
|
|||
+066a : 51ffa53f;
|
|||
+066b : 725283e0;
|
|||
+066c : c851dabd;
|
|||
+066d : 3f83e080;
|
|||
+066e : 0881ff06;
|
|||
+066f : 705253b1;
|
|||
+0670 : b03f0b0b;
|
|||
+0671 : 80fa8851;
|
|||
+0672 : b5cd3f72;
|
|||
+0673 : 51b6d73f;
|
|||
+0674 : 0b0b80f9;
|
|||
+0675 : 8851b5bf;
|
|||
+0676 : 3f843d0d;
|
|||
+0677 : 04f13d0d;
|
|||
+0678 : 0b0b80fa;
|
|||
+0679 : 9051b5af;
|
|||
+067a : 3f0b0b80;
|
|||
+067b : fa9851b5;
|
|||
+067c : a63fd9bd;
|
|||
+067d : 3f83e080;
|
|||
+067e : 0881ff06;
|
|||
+067f : 547383b1;
|
|||
+0680 : 380b0b80;
|
|||
+0681 : f6c051b5;
|
|||
+0682 : 8e3f0b0b;
|
|||
+0683 : 80faa451;
|
|||
+0684 : b5853f83;
|
|||
+0685 : e3ac5199;
|
|||
+0686 : 9f3f83e0;
|
|||
+0687 : 80088391;
|
|||
+0688 : 380b0b80;
|
|||
+0689 : f6c051b4;
|
|||
+068a : ee3f0b0b;
|
|||
+068b : 80faac51;
|
|||
+068c : b4e53f0b;
|
|||
+068d : 0b80f6a8;
|
|||
+068e : 5283e2f4;
|
|||
+068f : 51a3843f;
|
|||
+0690 : 83e08008;
|
|||
+0691 : 82eb380b;
|
|||
+0692 : 0b80f6c0;
|
|||
+0693 : 51b4c83f;
|
|||
+0694 : 83e09452;
|
|||
+0695 : 83e2f451;
|
|||
+0696 : a3fc3f83;
|
|||
+0697 : e08008a5;
|
|||
+0698 : 3883e09d;
|
|||
+0699 : 33547380;
|
|||
+069a : 2e9b3883;
|
|||
+069b : e09d51b4;
|
|||
+069c : a63f83e0;
|
|||
+069d : 945283e2;
|
|||
+069e : f451a3da;
|
|||
+069f : 3f83e080;
|
|||
+06a0 : 08802edd;
|
|||
+06a1 : 3880560b;
|
|||
+06a2 : 0b80fab8;
|
|||
+06a3 : 16547333;
|
|||
+06a4 : 83e39817;
|
|||
+06a5 : 34733383;
|
|||
+06a6 : e3d81734;
|
|||
+06a7 : 733383e2;
|
|||
+06a8 : c8173473;
|
|||
+06a9 : 3383e0b8;
|
|||
+06aa : 17347333;
|
|||
+06ab : 5473802e;
|
|||
+06ac : 8c388116;
|
|||
+06ad : 56758f2e;
|
|||
+06ae : 098106cb;
|
|||
+06af : 380b0b80;
|
|||
+06b0 : fac4519c;
|
|||
+06b1 : 9a3f9280;
|
|||
+06b2 : 0a0b913d;
|
|||
+06b3 : 5e5783e0;
|
|||
+06b4 : 80088aee;
|
|||
+06b5 : 38805574;
|
|||
+06b6 : 913d237c;
|
|||
+06b7 : 53848052;
|
|||
+06b8 : 83e3e851;
|
|||
+06b9 : 9db93f90;
|
|||
+06ba : 3d227083;
|
|||
+06bb : ffff0655;
|
|||
+06bc : 5673752e;
|
|||
+06bd : 9d3883e3;
|
|||
+06be : e8155473;
|
|||
+06bf : 33777081;
|
|||
+06c0 : 05593481;
|
|||
+06c1 : 15913d22;
|
|||
+06c2 : 57557476;
|
|||
+06c3 : 2e098106;
|
|||
+06c4 : e5387583;
|
|||
+06c5 : ffff0654;
|
|||
+06c6 : 7384802e;
|
|||
+06c7 : ffb73881;
|
|||
+06c8 : 0b83e0b4;
|
|||
+06c9 : 0c83e398;
|
|||
+06ca : 51d8913f;
|
|||
+06cb : 8051d6bb;
|
|||
+06cc : 3f80fda0;
|
|||
+06cd : 08548074;
|
|||
+06ce : 34d5b63f;
|
|||
+06cf : 8151d6ab;
|
|||
+06d0 : 3f8051d6;
|
|||
+06d1 : ca3f83e3;
|
|||
+06d2 : 8c088605;
|
|||
+06d3 : 51adca3f;
|
|||
+06d4 : 8e3d5978;
|
|||
+06d5 : 51f88f3f;
|
|||
+06d6 : 8e3d33cf;
|
|||
+06d7 : 117081ff;
|
|||
+06d8 : 06515555;
|
|||
+06d9 : 738226eb;
|
|||
+06da : 38748f06;
|
|||
+06db : 547383e0;
|
|||
+06dc : b4082e97;
|
|||
+06dd : 387383e0;
|
|||
+06de : b40c7382;
|
|||
+06df : 2e82b638;
|
|||
+06e0 : 73822482;
|
|||
+06e1 : 8a387381;
|
|||
+06e2 : 2eb43883;
|
|||
+06e3 : e2e40880;
|
|||
+06e4 : 2ec13802;
|
|||
+06e5 : b5053354;
|
|||
+06e6 : 7380d22e;
|
|||
+06e7 : 82a13873;
|
|||
+06e8 : 80d22482;
|
|||
+06e9 : 833873bf;
|
|||
+06ea : 2e9d38ac;
|
|||
+06eb : d53fffa3;
|
|||
+06ec : 390b0b80;
|
|||
+06ed : f6b851b1;
|
|||
+06ee : de3f913d;
|
|||
+06ef : 0d0483e3;
|
|||
+06f0 : 9851d6f8;
|
|||
+06f1 : 3fc5390b;
|
|||
+06f2 : 0b80f894;
|
|||
+06f3 : 51b1c83f;
|
|||
+06f4 : 02b70533;
|
|||
+06f5 : 70882b81;
|
|||
+06f6 : fe800602;
|
|||
+06f7 : 8805b605;
|
|||
+06f8 : 33710551;
|
|||
+06f9 : 5555add7;
|
|||
+06fa : 3f80c151;
|
|||
+06fb : ad833fd1;
|
|||
+06fc : d83f860b;
|
|||
+06fd : 83e0c834;
|
|||
+06fe : 815283e0;
|
|||
+06ff : c851b4a3;
|
|||
+0700 : 3f8151fa;
|
|||
+0701 : f63f7385;
|
|||
+0702 : b238860b;
|
|||
+0703 : 83e38c0c;
|
|||
+0704 : 0b0b80f9;
|
|||
+0705 : f851b0ff;
|
|||
+0706 : 3f83e38e;
|
|||
+0707 : 2251b286;
|
|||
+0708 : 3f0b0b80;
|
|||
+0709 : fad451b0;
|
|||
+070a : ee3fad93;
|
|||
+070b : 3f80c151;
|
|||
+070c : acbf3fd1;
|
|||
+070d : 943f980b;
|
|||
+070e : 83e2ec33;
|
|||
+070f : 83e2ed33;
|
|||
+0710 : 71882b07;
|
|||
+0711 : 56585573;
|
|||
+0712 : 81802e82;
|
|||
+0713 : b138b855;
|
|||
+0714 : 7483e0c8;
|
|||
+0715 : 34ff0b83;
|
|||
+0716 : e0c934e0;
|
|||
+0717 : 0b83e0ca;
|
|||
+0718 : 34800b83;
|
|||
+0719 : e0cb3484;
|
|||
+071a : 5283e0c8;
|
|||
+071b : 51b3b43f;
|
|||
+071c : 8451fa87;
|
|||
+071d : 3f83e0c8;
|
|||
+071e : 3351b1aa;
|
|||
+071f : 3f0b0b80;
|
|||
+0720 : fadc51b0;
|
|||
+0721 : 923facfc;
|
|||
+0722 : 3faaf73f;
|
|||
+0723 : fdc53973;
|
|||
+0724 : 832e81e0;
|
|||
+0725 : 3873842e;
|
|||
+0726 : 098106fd;
|
|||
+0727 : ee3883e0;
|
|||
+0728 : b851d598;
|
|||
+0729 : 3ffde439;
|
|||
+072a : 7380d32e;
|
|||
+072b : fef338aa;
|
|||
+072c : d13ffd9f;
|
|||
+072d : 3983e3d8;
|
|||
+072e : 51d5813f;
|
|||
+072f : fdcd3902;
|
|||
+0730 : b7053370;
|
|||
+0731 : 882b81fe;
|
|||
+0732 : 80060288;
|
|||
+0733 : 05b60533;
|
|||
+0734 : 57760558;
|
|||
+0735 : 588055ab;
|
|||
+0736 : e63f80c1;
|
|||
+0737 : 51ab923f;
|
|||
+0738 : 74913d23;
|
|||
+0739 : 0b0b80fa;
|
|||
+073a : e451afab;
|
|||
+073b : 3f7651b0;
|
|||
+073c : b53f0b0b;
|
|||
+073d : 80faf451;
|
|||
+073e : af9d3f83;
|
|||
+073f : e3880875;
|
|||
+0740 : 2e098106;
|
|||
+0741 : 81fd3883;
|
|||
+0742 : e3900856;
|
|||
+0743 : 83772581;
|
|||
+0744 : bb3883e2;
|
|||
+0745 : ec3383e2;
|
|||
+0746 : ed337188;
|
|||
+0747 : 2b07fc19;
|
|||
+0748 : 71297805;
|
|||
+0749 : 83800570;
|
|||
+074a : 83ffff06;
|
|||
+074b : 54585658;
|
|||
+074c : aff43f0b;
|
|||
+074d : 0b80fb80;
|
|||
+074e : 51aedc3f;
|
|||
+074f : 75519afd;
|
|||
+0750 : 3f7c5374;
|
|||
+0751 : 83ffff06;
|
|||
+0752 : 705383e0;
|
|||
+0753 : c8525498;
|
|||
+0754 : ce3f7351;
|
|||
+0755 : f8a53f0b;
|
|||
+0756 : 0b80faec;
|
|||
+0757 : 51aeb83f;
|
|||
+0758 : 8051afc2;
|
|||
+0759 : 3f0b0b80;
|
|||
+075a : fb8051ae;
|
|||
+075b : aa3fab94;
|
|||
+075c : 3ffe9639;
|
|||
+075d : 83e2c851;
|
|||
+075e : d3c23ffc;
|
|||
+075f : 8e3983e2;
|
|||
+0760 : ea3383e2;
|
|||
+0761 : eb337188;
|
|||
+0762 : 2b075556;
|
|||
+0763 : ad807427;
|
|||
+0764 : fdbe3881;
|
|||
+0765 : 98557483;
|
|||
+0766 : e0c834ff;
|
|||
+0767 : 0b83e0c9;
|
|||
+0768 : 34e00b83;
|
|||
+0769 : e0ca3480;
|
|||
+076a : 0b83e0cb;
|
|||
+076b : 34845283;
|
|||
+076c : e0c851b0;
|
|||
+076d : ee3f8451;
|
|||
+076e : f7c13f83;
|
|||
+076f : e0c83351;
|
|||
+0770 : aee43f0b;
|
|||
+0771 : 0b80fadc;
|
|||
+0772 : 51fdb839;
|
|||
+0773 : 76818029;
|
|||
+0774 : 16ff8005;
|
|||
+0775 : 56818076;
|
|||
+0776 : 83ffff06;
|
|||
+0777 : 5255aec6;
|
|||
+0778 : 3f0b0b80;
|
|||
+0779 : fb8051ad;
|
|||
+077a : ae3f7551;
|
|||
+077b : 99cf3f7c;
|
|||
+077c : 537483ff;
|
|||
+077d : ff067053;
|
|||
+077e : 83e0c852;
|
|||
+077f : 5497a03f;
|
|||
+0780 : fed03983;
|
|||
+0781 : e3940880;
|
|||
+0782 : fc055480;
|
|||
+0783 : fd527351;
|
|||
+0784 : b19a3f83;
|
|||
+0785 : e080080b;
|
|||
+0786 : 0b80f79c;
|
|||
+0787 : 525cacf7;
|
|||
+0788 : 3f827725;
|
|||
+0789 : 81af3876;
|
|||
+078a : 82e82e83;
|
|||
+078b : fc387682;
|
|||
+078c : e92e81f5;
|
|||
+078d : 387682f0;
|
|||
+078e : 24a9380b;
|
|||
+078f : 0b80faf8;
|
|||
+0790 : 51acd43f;
|
|||
+0791 : 81805473;
|
|||
+0792 : 51f6b03f;
|
|||
+0793 : 0b0b80fa;
|
|||
+0794 : ec51acc3;
|
|||
+0795 : 3f8051ad;
|
|||
+0796 : cd3f0b0b;
|
|||
+0797 : 80fb8051;
|
|||
+0798 : fe89390b;
|
|||
+0799 : 0b80fb84;
|
|||
+079a : 51acac3f;
|
|||
+079b : 7680fd29;
|
|||
+079c : fd97d305;
|
|||
+079d : 5198c63f;
|
|||
+079e : 7c5380fd;
|
|||
+079f : 5283e0c8;
|
|||
+07a0 : 51969c3f;
|
|||
+07a1 : 903d2270;
|
|||
+07a2 : 83ffff06;
|
|||
+07a3 : 81195955;
|
|||
+07a4 : 567380fc;
|
|||
+07a5 : 26833874;
|
|||
+07a6 : 5776882c;
|
|||
+07a7 : 547383e1;
|
|||
+07a8 : c5347683;
|
|||
+07a9 : e1c63475;
|
|||
+07aa : 83e1c734;
|
|||
+07ab : 0b0b80fa;
|
|||
+07ac : f851abe3;
|
|||
+07ad : 3f818054;
|
|||
+07ae : ff8d39a8;
|
|||
+07af : 0b83e38c;
|
|||
+07b0 : 0c0b0b80;
|
|||
+07b1 : f9f051ab;
|
|||
+07b2 : ce3f83e3;
|
|||
+07b3 : 8e2251ac;
|
|||
+07b4 : d53ffacd;
|
|||
07b5 : 390b0b80;
|
|||
-07b6 : facc51ab;
|
|||
-07b7 : 833f7475;
|
|||
-07b8 : 5957800b;
|
|||
-07b9 : 83e2d818;
|
|||
-07ba : 337081ff;
|
|||
-07bb : 06ffbf11;
|
|||
-07bc : 57575a5b;
|
|||
-07bd : 73992683;
|
|||
-07be : 38815b80;
|
|||
-07bf : 0bd01655;
|
|||
-07c0 : 56738926;
|
|||
-07c1 : 83388156;
|
|||
-07c2 : 7a760754;
|
|||
-07c3 : 73802e8f;
|
|||
-07c4 : 387883e0;
|
|||
-07c5 : c8193481;
|
|||
-07c6 : 187081ff;
|
|||
-07c7 : 06595476;
|
|||
-07c8 : 87327030;
|
|||
-07c9 : 70720780;
|
|||
-07ca : 25798a32;
|
|||
-07cb : 70307072;
|
|||
-07cc : 07802573;
|
|||
-07cd : 07535459;
|
|||
-07ce : 51565474;
|
|||
-07cf : 802e9a38;
|
|||
-07d0 : 77772695;
|
|||
-07d1 : 38a00b83;
|
|||
-07d2 : e0c81934;
|
|||
-07d3 : 81187081;
|
|||
-07d4 : ff065954;
|
|||
-07d5 : 767827ed;
|
|||
-07d6 : 38811770;
|
|||
-07d7 : 81ff0658;
|
|||
-07d8 : 548a7727;
|
|||
-07d9 : fefc388f;
|
|||
-07da : 5783e0c3;
|
|||
-07db : 173383e0;
|
|||
-07dc : c81834ff;
|
|||
-07dd : 177081ff;
|
|||
-07de : 06585476;
|
|||
-07df : 8426ea38;
|
|||
-07e0 : 9057800b;
|
|||
-07e1 : 83e0c818;
|
|||
-07e2 : 34811770;
|
|||
-07e3 : 81ff0670;
|
|||
-07e4 : 982b5258;
|
|||
-07e5 : 54738025;
|
|||
-07e6 : e93880c6;
|
|||
-07e7 : 5479858f;
|
|||
-07e8 : 24843880;
|
|||
-07e9 : c2547383;
|
|||
-07ea : e0c83480;
|
|||
-07eb : f10b83e0;
|
|||
-07ec : cb34810b;
|
|||
-07ed : 83e0cc34;
|
|||
-07ee : 8a390b0b;
|
|||
-07ef : 80fad451;
|
|||
-07f0 : a99e3f79;
|
|||
-07f1 : 83e0c934;
|
|||
-07f2 : 79882c54;
|
|||
-07f3 : 7383e0ca;
|
|||
-07f4 : 340b0b80;
|
|||
-07f5 : fab851a9;
|
|||
-07f6 : 873f8180;
|
|||
-07f7 : 54fc9439;
|
|||
-07f8 : 0b0b80fa;
|
|||
-07f9 : e451a8f8;
|
|||
-07fa : 3fff1670;
|
|||
-07fb : 872b83ff;
|
|||
-07fc : ff800680;
|
|||
-07fd : faf40583;
|
|||
-07fe : e0c85856;
|
|||
-07ff : 54818054;
|
|||
-0800 : 74708105;
|
|||
-0801 : 56337670;
|
|||
-0802 : 81055834;
|
|||
-0803 : ff147081;
|
|||
-0804 : ff065154;
|
|||
-0805 : 73802efb;
|
|||
-0806 : ce387470;
|
|||
-0807 : 81055633;
|
|||
-0808 : 76708105;
|
|||
-0809 : 5834ff14;
|
|||
-080a : 7081ff06;
|
|||
-080b : 515473d0;
|
|||
-080c : 38fbb439;
|
|||
-080d : ff3d0d80;
|
|||
-080e : 0b83e0ac;
|
|||
-080f : 0c800b83;
|
|||
-0810 : e3a80c80;
|
|||
-0811 : 0b83e0b4;
|
|||
-0812 : 0c8151cc;
|
|||
-0813 : c23fa80b;
|
|||
-0814 : 83e38c0c;
|
|||
-0815 : 80fdb808;
|
|||
-0816 : 70087081;
|
|||
-0817 : 2a708106;
|
|||
-0818 : 51515152;
|
|||
-0819 : 71802e87;
|
|||
-081a : 38810b83;
|
|||
-081b : e0ac0c83;
|
|||
-081c : e0ac0851;
|
|||
-081d : a5da3f83;
|
|||
-081e : e0ac08b8;
|
|||
-081f : 3880fdb8;
|
|||
-0820 : 08700870;
|
|||
-0821 : 81065151;
|
|||
-0822 : 527180dc;
|
|||
-0823 : 388151cb;
|
|||
-0824 : fe3f8051;
|
|||
-0825 : cbd53f80;
|
|||
-0826 : fce00852;
|
|||
-0827 : 807234ca;
|
|||
-0828 : d03f8151;
|
|||
-0829 : cbc53f80;
|
|||
-082a : 51cbe43f;
|
|||
-082b : d9ac3fd9;
|
|||
-082c : a93ff939;
|
|||
-082d : 8051cbb3;
|
|||
-082e : 3f80fce0;
|
|||
-082f : 08528072;
|
|||
-0830 : 34caae3f;
|
|||
-0831 : 8151cba3;
|
|||
-0832 : 3f8051cb;
|
|||
-0833 : c23f80fa;
|
|||
-0834 : 898051c7;
|
|||
-0835 : df3f80fd;
|
|||
-0836 : b8087008;
|
|||
-0837 : 70810651;
|
|||
-0838 : 51527180;
|
|||
-0839 : 2effa638;
|
|||
-083a : f1ef3f81;
|
|||
-083b : 51cba03f;
|
|||
-083c : 8051caf7;
|
|||
-083d : 3f80fce0;
|
|||
-083e : 08528072;
|
|||
-083f : 34c9f23f;
|
|||
-0840 : 8151cae7;
|
|||
-0841 : 3f8051cb;
|
|||
-0842 : 863fffa0;
|
|||
-0843 : 39f73d0d;
|
|||
-0844 : 7b83e090;
|
|||
-0845 : 08575881;
|
|||
-0846 : 78279c38;
|
|||
-0847 : 77881708;
|
|||
-0848 : 27953875;
|
|||
-0849 : 33577682;
|
|||
-084a : 2e81d038;
|
|||
-084b : 76822491;
|
|||
-084c : 3876812e;
|
|||
-084d : 80e63881;
|
|||
-084e : 0b83e080;
|
|||
-084f : 0c8b3d0d;
|
|||
-0850 : 0476832e;
|
|||
-0851 : 098106ef;
|
|||
-0852 : 38845477;
|
|||
-0853 : 822b83fc;
|
|||
-0854 : 06537787;
|
|||
-0855 : 2a8c1708;
|
|||
-0856 : 05528b3d;
|
|||
-0857 : fc0551ef;
|
|||
-0858 : a43f83e0;
|
|||
-0859 : 8008d038;
|
|||
-085a : 02a70533;
|
|||
-085b : 028405a6;
|
|||
-085c : 05337198;
|
|||
-085d : 2b71902b;
|
|||
-085e : 07028c05;
|
|||
-085f : a5053370;
|
|||
-0860 : 882b7207;
|
|||
-0861 : 8f3d3371;
|
|||
-0862 : 80fffffe;
|
|||
-0863 : 80060783;
|
|||
-0864 : e0800c52;
|
|||
-0865 : 5c575856;
|
|||
-0866 : 8b3d0d04;
|
|||
-0867 : 7783ffff;
|
|||
-0868 : 0670812a;
|
|||
-0869 : 117083ff;
|
|||
-086a : ff067083;
|
|||
-086b : ff067189;
|
|||
-086c : 2a525c51;
|
|||
-086d : 51557883;
|
|||
-086e : ff2e80f2;
|
|||
-086f : 38825478;
|
|||
-0870 : 538c1608;
|
|||
-0871 : 15528b3d;
|
|||
-0872 : fc0551ee;
|
|||
-0873 : b83f83e0;
|
|||
-0874 : 8008fee3;
|
|||
-0875 : 3802a505;
|
|||
-0876 : 338b3d33;
|
|||
-0877 : 71882b07;
|
|||
-0878 : 79810671;
|
|||
-0879 : 842a5357;
|
|||
-087a : 58567486;
|
|||
-087b : 38769fff;
|
|||
-087c : 06567583;
|
|||
-087d : e0800c8b;
|
|||
-087e : 3d0d0476;
|
|||
-087f : 54771083;
|
|||
-0880 : fe065377;
|
|||
-0881 : 882a8c17;
|
|||
-0882 : 0805528b;
|
|||
-0883 : 3dfc0551;
|
|||
-0884 : edf33f83;
|
|||
-0885 : e08008fe;
|
|||
-0886 : 9e3802a5;
|
|||
-0887 : 05338b3d;
|
|||
-0888 : 3371882b;
|
|||
-0889 : 0783e080;
|
|||
-088a : 0c568b3d;
|
|||
-088b : 0d047654;
|
|||
-088c : 78538c16;
|
|||
-088d : 0815528b;
|
|||
-088e : 3dfc0551;
|
|||
-088f : edc73f83;
|
|||
-0890 : e08008fd;
|
|||
-0891 : f2387654;
|
|||
-0892 : 83e08008;
|
|||
-0893 : 538c1608;
|
|||
-0894 : 15810552;
|
|||
-0895 : 8b3dfd05;
|
|||
-0896 : 51fef039;
|
|||
-0897 : fb3d0d83;
|
|||
-0898 : e09008fe;
|
|||
-0899 : 19881208;
|
|||
-089a : fe055556;
|
|||
-089b : 54805674;
|
|||
-089c : 73278d38;
|
|||
-089d : 82143375;
|
|||
-089e : 71299416;
|
|||
-089f : 08055753;
|
|||
-08a0 : 7583e080;
|
|||
-08a1 : 0c873d0d;
|
|||
-08a2 : 04fc3d0d;
|
|||
-08a3 : 7683e090;
|
|||
-08a4 : 08555580;
|
|||
-08a5 : 75238815;
|
|||
-08a6 : 08537281;
|
|||
-08a7 : 2e883888;
|
|||
-08a8 : 14087326;
|
|||
-08a9 : 8b38810b;
|
|||
-08aa : 83e0800c;
|
|||
-08ab : 863d0d04;
|
|||
-08ac : 72903873;
|
|||
-08ad : 33527183;
|
|||
-08ae : 2e098106;
|
|||
-08af : 85389014;
|
|||
-08b0 : 0853728c;
|
|||
-08b1 : 160c7280;
|
|||
-08b2 : 2e983872;
|
|||
-08b3 : 51ff8d3f;
|
|||
-08b4 : 83e08008;
|
|||
-08b5 : 90160c80;
|
|||
-08b6 : 527183e0;
|
|||
-08b7 : 800c863d;
|
|||
-08b8 : 0d049014;
|
|||
-08b9 : 0890160c;
|
|||
-08ba : 8052ee39;
|
|||
-08bb : fa3d0d78;
|
|||
-08bc : 83e09008;
|
|||
-08bd : 71228105;
|
|||
-08be : 7083ffff;
|
|||
-08bf : 06575457;
|
|||
-08c0 : 5573802e;
|
|||
-08c1 : b7389015;
|
|||
-08c2 : 08537280;
|
|||
-08c3 : 2eae3873;
|
|||
-08c4 : 8f065271;
|
|||
-08c5 : 99388113;
|
|||
-08c6 : 90160c8c;
|
|||
-08c7 : 15085372;
|
|||
-08c8 : a538830b;
|
|||
-08c9 : 84172257;
|
|||
-08ca : 52737627;
|
|||
-08cb : 86387375;
|
|||
-08cc : 23805271;
|
|||
-08cd : 83e0800c;
|
|||
-08ce : 883d0d04;
|
|||
-08cf : 830b83e0;
|
|||
-08d0 : 800c883d;
|
|||
-08d1 : 0d048216;
|
|||
-08d2 : 33ff0574;
|
|||
-08d3 : 842a0652;
|
|||
-08d4 : 71dc3872;
|
|||
-08d5 : 51fbb63f;
|
|||
-08d6 : 81527183;
|
|||
-08d7 : e0800827;
|
|||
-08d8 : d2388352;
|
|||
-08d9 : 83e08008;
|
|||
-08da : 88170827;
|
|||
-08db : c63883e0;
|
|||
-08dc : 80088c16;
|
|||
-08dd : 0c83e080;
|
|||
-08de : 0851fde0;
|
|||
-08df : 3f83e080;
|
|||
-08e0 : 0890160c;
|
|||
-08e1 : 73752380;
|
|||
-08e2 : 52ffa839;
|
|||
-08e3 : f23d0d60;
|
|||
-08e4 : 62647033;
|
|||
-08e5 : 58585e5e;
|
|||
-08e6 : 74a02e09;
|
|||
-08e7 : 81068e38;
|
|||
-08e8 : 81167044;
|
|||
-08e9 : 70335656;
|
|||
-08ea : 74a02ef4;
|
|||
-08eb : 38753355;
|
|||
-08ec : 74af2e82;
|
|||
-08ed : 9c38800b;
|
|||
-08ee : 881f0c75;
|
|||
-08ef : 3355a075;
|
|||
-08f0 : 2782a138;
|
|||
-08f1 : 933d841f;
|
|||
-08f2 : 0870585c;
|
|||
-08f3 : 5f8a55a0;
|
|||
-08f4 : 76708105;
|
|||
-08f5 : 5834ff15;
|
|||
-08f6 : 5574ff2e;
|
|||
-08f7 : 098106ef;
|
|||
-08f8 : 38807059;
|
|||
-08f9 : 59887f08;
|
|||
-08fa : 5d5a7881;
|
|||
-08fb : 1a7081ff;
|
|||
-08fc : 067e1370;
|
|||
-08fd : 3370af32;
|
|||
-08fe : 7030a073;
|
|||
-08ff : 27718025;
|
|||
-0900 : 07515152;
|
|||
-0901 : 5b535b57;
|
|||
-0902 : 557480d3;
|
|||
-0903 : 3876ae2e;
|
|||
-0904 : 81e83877;
|
|||
-0905 : 7a277507;
|
|||
-0906 : 5574802e;
|
|||
-0907 : 81e83879;
|
|||
-0908 : 88327030;
|
|||
-0909 : 78ae3270;
|
|||
-090a : 30707307;
|
|||
-090b : 9f2a5351;
|
|||
-090c : 57515675;
|
|||
-090d : aa388858;
|
|||
-090e : 8b79811b;
|
|||
-090f : 7081ff06;
|
|||
-0910 : 7f137033;
|
|||
-0911 : 70af3270;
|
|||
-0912 : 30a07327;
|
|||
-0913 : 71802507;
|
|||
-0914 : 5151525c;
|
|||
-0915 : 535c5856;
|
|||
-0916 : 5a74802e;
|
|||
-0917 : ffaf387b;
|
|||
-0918 : 197f0c80;
|
|||
-0919 : 5576a026;
|
|||
-091a : 83388155;
|
|||
-091b : 748b1c34;
|
|||
-091c : 7d51fc95;
|
|||
-091d : 3f83e080;
|
|||
-091e : 085a83e0;
|
|||
-091f : 8008802e;
|
|||
-0920 : 81d93879;
|
|||
-0921 : 567982b0;
|
|||
-0922 : 38841e08;
|
|||
-0923 : 8b113356;
|
|||
-0924 : 5b7480dd;
|
|||
-0925 : 388b1d33;
|
|||
-0926 : 70842a70;
|
|||
-0927 : 81065156;
|
|||
-0928 : 5774802e;
|
|||
-0929 : 82a73895;
|
|||
-092a : 1d33941e;
|
|||
-092b : 3371982b;
|
|||
-092c : 71902b07;
|
|||
-092d : 7f9b0533;
|
|||
-092e : 609a0533;
|
|||
-092f : 71882b07;
|
|||
-0930 : 72076288;
|
|||
-0931 : 050c7e52;
|
|||
-0932 : 5a585658;
|
|||
-0933 : 8a55fdff;
|
|||
-0934 : 39811670;
|
|||
-0935 : 4456800b;
|
|||
-0936 : 881f0c75;
|
|||
-0937 : 335574a0;
|
|||
-0938 : 26fde138;
|
|||
-0939 : 7d51fba1;
|
|||
-093a : 3f83e080;
|
|||
-093b : 0856807d;
|
|||
-093c : 347583e0;
|
|||
-093d : 800c903d;
|
|||
-093e : 0d048170;
|
|||
-093f : 797c2707;
|
|||
-0940 : 515574fe;
|
|||
-0941 : 9a38ff9f;
|
|||
-0942 : 17557499;
|
|||
-0943 : 268938e0;
|
|||
-0944 : 177081ff;
|
|||
-0945 : 06585577;
|
|||
-0946 : 81197081;
|
|||
-0947 : ff067d13;
|
|||
-0948 : 535a5755;
|
|||
-0949 : 767534fd;
|
|||
-094a : c139ff17;
|
|||
-094b : 5776ff2e;
|
|||
-094c : 80fd3878;
|
|||
-094d : 7081055a;
|
|||
-094e : 33787081;
|
|||
-094f : 055a3371;
|
|||
-0950 : 71315256;
|
|||
-0951 : 5675802e;
|
|||
-0952 : e1387d51;
|
|||
-0953 : fb9e3f83;
|
|||
-0954 : e080085a;
|
|||
-0955 : 83e08008;
|
|||
-0956 : fea938a0;
|
|||
-0957 : 547d2270;
|
|||
-0958 : 852b83e0;
|
|||
-0959 : 06545590;
|
|||
-095a : 1e08527c;
|
|||
-095b : 51e7963f;
|
|||
-095c : 83e08008;
|
|||
-095d : 5a83e080;
|
|||
-095e : 0880dc38;
|
|||
-095f : 7c335574;
|
|||
-0960 : 802e80dd;
|
|||
-0961 : 388b1d33;
|
|||
-0962 : 70832a70;
|
|||
-0963 : 81065156;
|
|||
-0964 : 5674ffb6;
|
|||
-0965 : 388b7d7f;
|
|||
-0966 : 84050883;
|
|||
-0967 : e08008ff;
|
|||
-0968 : 1454595a;
|
|||
-0969 : 5a5776ff;
|
|||
-096a : 2e098106;
|
|||
-096b : ff853875;
|
|||
-096c : ff983879;
|
|||
-096d : 56fdd239;
|
|||
-096e : 79832e09;
|
|||
-096f : 8106feb1;
|
|||
-0970 : 38841e08;
|
|||
-0971 : 8b113351;
|
|||
-0972 : 5574fea5;
|
|||
-0973 : 38840b83;
|
|||
-0974 : e0800c90;
|
|||
-0975 : 3d0d0481;
|
|||
-0976 : 0b83e080;
|
|||
-0977 : 0c903d0d;
|
|||
-0978 : 04830b84;
|
|||
-0979 : 1f088b11;
|
|||
-097a : 33515656;
|
|||
-097b : 74fe8238;
|
|||
-097c : dc39f83d;
|
|||
-097d : 0d7a7c59;
|
|||
-097e : 57825483;
|
|||
-097f : fe537752;
|
|||
-0980 : 7651e681;
|
|||
-0981 : 3f835683;
|
|||
-0982 : e0800880;
|
|||
-0983 : ec388117;
|
|||
-0984 : 33773371;
|
|||
-0985 : 882b0756;
|
|||
-0986 : 56825674;
|
|||
-0987 : 82d4d52e;
|
|||
-0988 : 09810680;
|
|||
-0989 : d4387554;
|
|||
-098a : b6537752;
|
|||
-098b : 7651e5d5;
|
|||
-098c : 3f83e080;
|
|||
-098d : 08983881;
|
|||
-098e : 17337733;
|
|||
-098f : 71882b07;
|
|||
-0990 : 83e08008;
|
|||
-0991 : 52565674;
|
|||
-0992 : 8182c62e;
|
|||
-0993 : ac388254;
|
|||
-0994 : 80d25377;
|
|||
-0995 : 527651e5;
|
|||
-0996 : ac3f83e0;
|
|||
-0997 : 80089838;
|
|||
-0998 : 81173377;
|
|||
-0999 : 3371882b;
|
|||
-099a : 0783e080;
|
|||
-099b : 08525656;
|
|||
-099c : 748182c6;
|
|||
-099d : 2e833881;
|
|||
-099e : 567583e0;
|
|||
-099f : 800c8a3d;
|
|||
-09a0 : 0d04ec3d;
|
|||
-09a1 : 0d665880;
|
|||
-09a2 : 0b83e090;
|
|||
-09a3 : 0c775677;
|
|||
-09a4 : 802e82d3;
|
|||
-09a5 : 38c09a3f;
|
|||
-09a6 : 83e08008;
|
|||
-09a7 : 81065582;
|
|||
-09a8 : 567482c3;
|
|||
-09a9 : 38747553;
|
|||
-09aa : 8e3d7053;
|
|||
-09ab : 5859fec2;
|
|||
-09ac : 3f83e080;
|
|||
-09ad : 0881ff06;
|
|||
-09ae : 5675812e;
|
|||
-09af : 82b23875;
|
|||
-09b0 : 832e8388;
|
|||
-09b1 : 3875838e;
|
|||
-09b2 : 38a4548d;
|
|||
-09b3 : 53785276;
|
|||
-09b4 : 51e4b23f;
|
|||
-09b5 : 815683e0;
|
|||
-09b6 : 8008828b;
|
|||
-09b7 : 3802ba05;
|
|||
-09b8 : 33028405;
|
|||
-09b9 : b9053371;
|
|||
-09ba : 882b0758;
|
|||
-09bb : 5c76ab38;
|
|||
-09bc : 0280ca05;
|
|||
-09bd : 33028405;
|
|||
-09be : 80c90533;
|
|||
-09bf : 71982b71;
|
|||
-09c0 : 902b0796;
|
|||
-09c1 : 3d337088;
|
|||
-09c2 : 2b720702;
|
|||
-09c3 : 940580c7;
|
|||
-09c4 : 05337107;
|
|||
-09c5 : 54525d57;
|
|||
-09c6 : 585602b3;
|
|||
-09c7 : 05337771;
|
|||
-09c8 : 29028805;
|
|||
-09c9 : b2053302;
|
|||
-09ca : 8c05b105;
|
|||
-09cb : 3371882b;
|
|||
-09cc : 077c1170;
|
|||
-09cd : 8c1e0c5e;
|
|||
-09ce : 5957585c;
|
|||
-09cf : 8d3d3382;
|
|||
-09d0 : 193402b5;
|
|||
-09d1 : 05338f3d;
|
|||
-09d2 : 3371882b;
|
|||
-09d3 : 075a5b78;
|
|||
-09d4 : 84192302;
|
|||
-09d5 : b7053302;
|
|||
-09d6 : 8405b605;
|
|||
-09d7 : 3371882b;
|
|||
-09d8 : 07565b74;
|
|||
-09d9 : ab380280;
|
|||
-09da : c6053302;
|
|||
-09db : 840580c5;
|
|||
-09dc : 05337198;
|
|||
-09dd : 2b71902b;
|
|||
-09de : 07953d33;
|
|||
-09df : 70882b72;
|
|||
-09e0 : 07029405;
|
|||
-09e1 : 80c30533;
|
|||
-09e2 : 71075152;
|
|||
-09e3 : 53575d5b;
|
|||
-09e4 : 74763177;
|
|||
-09e5 : 3179842a;
|
|||
-09e6 : 8f3d3354;
|
|||
-09e7 : 71713153;
|
|||
-09e8 : 56569dd1;
|
|||
-09e9 : 3f83e080;
|
|||
-09ea : 08820570;
|
|||
-09eb : 881a0c70;
|
|||
-09ec : 9ff62681;
|
|||
-09ed : 05575583;
|
|||
-09ee : fff67527;
|
|||
-09ef : 83388356;
|
|||
-09f0 : 75783475;
|
|||
-09f1 : 832e8198;
|
|||
-09f2 : 38761a90;
|
|||
-09f3 : 190c8418;
|
|||
-09f4 : 22771b71;
|
|||
-09f5 : 842a0594;
|
|||
-09f6 : 1a0c5580;
|
|||
-09f7 : 0b811934;
|
|||
-09f8 : 7783e090;
|
|||
-09f9 : 0c805675;
|
|||
-09fa : 83e0800c;
|
|||
-09fb : 963d0d04;
|
|||
-09fc : 905483be;
|
|||
-09fd : 53745276;
|
|||
-09fe : 51e28a3f;
|
|||
-09ff : 83e08008;
|
|||
-0a00 : 80ca388e;
|
|||
-0a01 : 3d335574;
|
|||
-0a02 : 802e80ca;
|
|||
-0a03 : 3802bb05;
|
|||
-0a04 : 33028405;
|
|||
-0a05 : ba053371;
|
|||
-0a06 : 982b7190;
|
|||
-0a07 : 2b07028c;
|
|||
-0a08 : 05b90533;
|
|||
-0a09 : 70882b72;
|
|||
-0a0a : 07943d33;
|
|||
-0a0b : 71077058;
|
|||
-0a0c : 7c575452;
|
|||
-0a0d : 5d575a56;
|
|||
-0a0e : fbb83f83;
|
|||
-0a0f : e0800881;
|
|||
-0a10 : ff065675;
|
|||
-0a11 : 832e0981;
|
|||
-0a12 : 06fcfa38;
|
|||
-0a13 : 810b83e0;
|
|||
-0a14 : 800c963d;
|
|||
-0a15 : 0d04870b;
|
|||
-0a16 : 83e0800c;
|
|||
-0a17 : 963d0d04;
|
|||
-0a18 : 0280d205;
|
|||
-0a19 : 33028405;
|
|||
-0a1a : 80d10533;
|
|||
-0a1b : 71982b71;
|
|||
-0a1c : 902b0798;
|
|||
-0a1d : 3d337088;
|
|||
-0a1e : 2b720702;
|
|||
-0a1f : 940580cf;
|
|||
-0a20 : 05337107;
|
|||
-0a21 : 901e0c52;
|
|||
-0a22 : 841c227b;
|
|||
-0a23 : 1f71842a;
|
|||
-0a24 : 05941e0c;
|
|||
-0a25 : 525e575a;
|
|||
-0a26 : 56800b81;
|
|||
-0a27 : 19347783;
|
|||
-0a28 : e0900c80;
|
|||
-0a29 : 56fec039;
|
|||
-0a2a : e93d0d83;
|
|||
-0a2b : e0900856;
|
|||
-0a2c : 86547580;
|
|||
-0a2d : 2ebe3880;
|
|||
-0a2e : 0b811734;
|
|||
-0a2f : 993de011;
|
|||
-0a30 : 466a54c0;
|
|||
-0a31 : 1153ec05;
|
|||
-0a32 : 51f5c13f;
|
|||
-0a33 : 83e08008;
|
|||
-0a34 : 5483e080;
|
|||
-0a35 : 089e3889;
|
|||
-0a36 : 3d335473;
|
|||
-0a37 : 802e9338;
|
|||
-0a38 : 02ab0533;
|
|||
-0a39 : 70842a70;
|
|||
-0a3a : 81065155;
|
|||
-0a3b : 5573802e;
|
|||
-0a3c : 8c388354;
|
|||
-0a3d : 7383e080;
|
|||
-0a3e : 0c993d0d;
|
|||
-0a3f : 0402b505;
|
|||
-0a40 : 338f3d33;
|
|||
-0a41 : 71982b71;
|
|||
-0a42 : 902b0702;
|
|||
-0a43 : 8c05bb05;
|
|||
-0a44 : 33029005;
|
|||
-0a45 : ba053371;
|
|||
-0a46 : 882b0772;
|
|||
-0a47 : 07a01b0c;
|
|||
-0a48 : 029005bf;
|
|||
-0a49 : 05330294;
|
|||
-0a4a : 05be0533;
|
|||
-0a4b : 71982b71;
|
|||
-0a4c : 902b0702;
|
|||
-0a4d : 9c05bd05;
|
|||
-0a4e : 3370882b;
|
|||
-0a4f : 7207993d;
|
|||
-0a50 : 3371077f;
|
|||
-0a51 : 9c050c52;
|
|||
-0a52 : 83e08008;
|
|||
-0a53 : 981f0c56;
|
|||
-0a54 : 5a525253;
|
|||
-0a55 : 57595781;
|
|||
-0a56 : 0b811734;
|
|||
-0a57 : 83e08008;
|
|||
-0a58 : 83e0800c;
|
|||
-0a59 : 993d0d04;
|
|||
-0a5a : f43d0d7e;
|
|||
-0a5b : 61028805;
|
|||
-0a5c : be052272;
|
|||
-0a5d : 83e09008;
|
|||
-0a5e : 5c5d5b5c;
|
|||
-0a5f : 5c807b23;
|
|||
-0a60 : 86567780;
|
|||
-0a61 : 2e81ca38;
|
|||
-0a62 : 81183381;
|
|||
-0a63 : 06558556;
|
|||
-0a64 : 74802e81;
|
|||
-0a65 : bc389818;
|
|||
-0a66 : 089c1908;
|
|||
-0a67 : 71315657;
|
|||
-0a68 : 78752681;
|
|||
-0a69 : b5387880;
|
|||
-0a6a : 2e81a438;
|
|||
-0a6b : 7683ff06;
|
|||
-0a6c : 557480c1;
|
|||
-0a6d : 38821833;
|
|||
-0a6e : ff057789;
|
|||
-0a6f : 2a067081;
|
|||
-0a70 : ff065155;
|
|||
-0a71 : 74933876;
|
|||
-0a72 : 819938a0;
|
|||
-0a73 : 18085681;
|
|||
-0a74 : 762781a3;
|
|||
-0a75 : 3875a419;
|
|||
-0a76 : 0ca41808;
|
|||
-0a77 : 51f0fd3f;
|
|||
-0a78 : 83e08008;
|
|||
-0a79 : 802e818f;
|
|||
-0a7a : 3883e080;
|
|||
-0a7b : 0815a819;
|
|||
-0a7c : 0c981808;
|
|||
-0a7d : 577683ff;
|
|||
-0a7e : 06848071;
|
|||
-0a7f : 317083ff;
|
|||
-0a80 : ff065851;
|
|||
-0a81 : 55787627;
|
|||
-0a82 : 83387856;
|
|||
-0a83 : 75549818;
|
|||
-0a84 : 0883ff06;
|
|||
-0a85 : 53a81808;
|
|||
-0a86 : 5279557b;
|
|||
-0a87 : 802e80d2;
|
|||
-0a88 : 387451dd;
|
|||
-0a89 : e03f83e0;
|
|||
-0a8a : 800880cb;
|
|||
-0a8b : 38981808;
|
|||
-0a8c : 16705898;
|
|||
-0a8d : 190c751a;
|
|||
-0a8e : 79773170;
|
|||
-0a8f : 83ffff06;
|
|||
-0a90 : 7d227905;
|
|||
-0a91 : 525b565a;
|
|||
-0a92 : 747b2378;
|
|||
-0a93 : fede3880;
|
|||
-0a94 : 567583e0;
|
|||
-0a95 : 800c8e3d;
|
|||
-0a96 : 0d047483;
|
|||
-0a97 : ffff0659;
|
|||
-0a98 : fec439a4;
|
|||
-0a99 : 180851ed;
|
|||
-0a9a : a43f83e0;
|
|||
-0a9b : 800856fe;
|
|||
-0a9c : de397b55;
|
|||
-0a9d : ffab3980;
|
|||
-0a9e : 0b811934;
|
|||
-0a9f : 810b83e0;
|
|||
-0aa0 : 800c8e3d;
|
|||
-0aa1 : 0d04fa3d;
|
|||
-0aa2 : 0d7883e0;
|
|||
-0aa3 : 90085555;
|
|||
-0aa4 : 86567380;
|
|||
-0aa5 : 2e81cd38;
|
|||
-0aa6 : 81143381;
|
|||
-0aa7 : 06538556;
|
|||
-0aa8 : 72802e81;
|
|||
-0aa9 : bf389c14;
|
|||
-0aaa : 08537473;
|
|||
-0aab : 2681be38;
|
|||
-0aac : 98140857;
|
|||
-0aad : 800b9815;
|
|||
-0aae : 0c74802e;
|
|||
-0aaf : 81a43882;
|
|||
-0ab0 : 14337089;
|
|||
-0ab1 : 2b575376;
|
|||
-0ab2 : 802e81a6;
|
|||
-0ab3 : 387552ff;
|
|||
-0ab4 : 155197a1;
|
|||
-0ab5 : 3f83e080;
|
|||
-0ab6 : 08ff1877;
|
|||
-0ab7 : 54705358;
|
|||
-0ab8 : 5397923f;
|
|||
-0ab9 : 83e08008;
|
|||
-0aba : 73268186;
|
|||
-0abb : 38753070;
|
|||
-0abc : 78067098;
|
|||
-0abd : 170c7671;
|
|||
-0abe : 31a41708;
|
|||
-0abf : 52575153;
|
|||
-0ac0 : 757527b7;
|
|||
-0ac1 : 387251ec;
|
|||
-0ac2 : 843f83e0;
|
|||
-0ac3 : 80085381;
|
|||
-0ac4 : 0b83e080;
|
|||
-0ac5 : 082780e5;
|
|||
-0ac6 : 3883e080;
|
|||
-0ac7 : 08881508;
|
|||
-0ac8 : 2780da38;
|
|||
-0ac9 : 83e08008;
|
|||
-0aca : a4150c98;
|
|||
-0acb : 14081698;
|
|||
-0acc : 150c7476;
|
|||
-0acd : 31557476;
|
|||
-0ace : 26cb3898;
|
|||
-0acf : 14081570;
|
|||
-0ad0 : 98160c73;
|
|||
-0ad1 : 5256ee94;
|
|||
-0ad2 : 3f83e080;
|
|||
-0ad3 : 08802ead;
|
|||
-0ad4 : 38821433;
|
|||
-0ad5 : ff057689;
|
|||
-0ad6 : 2a0683e0;
|
|||
-0ad7 : 800805a8;
|
|||
-0ad8 : 150c8056;
|
|||
-0ad9 : 7583e080;
|
|||
-0ada : 0c883d0d;
|
|||
-0adb : 047255fe;
|
|||
-0adc : bf39a014;
|
|||
-0add : 0870a416;
|
|||
-0ade : 0c53ff84;
|
|||
-0adf : 39800b81;
|
|||
-0ae0 : 1534810b;
|
|||
-0ae1 : 83e0800c;
|
|||
-0ae2 : 883d0d04;
|
|||
-0ae3 : ee3d0d64;
|
|||
-0ae4 : 56865583;
|
|||
-0ae5 : e0900880;
|
|||
-0ae6 : 2e80f138;
|
|||
-0ae7 : 943df411;
|
|||
-0ae8 : 84180c66;
|
|||
-0ae9 : 54d40552;
|
|||
-0aea : 7551efe0;
|
|||
-0aeb : 3f83e080;
|
|||
-0aec : 085583e0;
|
|||
-0aed : 800880cf;
|
|||
-0aee : 38893d33;
|
|||
-0aef : 5473802e;
|
|||
-0af0 : bc3802ab;
|
|||
-0af1 : 05337084;
|
|||
-0af2 : 2a708106;
|
|||
-0af3 : 51555584;
|
|||
-0af4 : 5573802e;
|
|||
-0af5 : b73802b5;
|
|||
-0af6 : 05338f3d;
|
|||
-0af7 : 3371982b;
|
|||
-0af8 : 71902b07;
|
|||
-0af9 : 028c05bb;
|
|||
-0afa : 05330290;
|
|||
-0afb : 05ba0533;
|
|||
-0afc : 71882b07;
|
|||
-0afd : 7207881b;
|
|||
-0afe : 0c535759;
|
|||
-0aff : 577551ed;
|
|||
-0b00 : 883f83e0;
|
|||
-0b01 : 80085574;
|
|||
-0b02 : 832e8a38;
|
|||
-0b03 : 7483e080;
|
|||
-0b04 : 0c943d0d;
|
|||
-0b05 : 04840b83;
|
|||
-0b06 : e0800c94;
|
|||
-0b07 : 3d0d04eb;
|
|||
-0b08 : 3d0d6769;
|
|||
-0b09 : 5b598655;
|
|||
-0b0a : 83e09008;
|
|||
-0b0b : 802e81f1;
|
|||
-0b0c : 38973df4;
|
|||
-0b0d : 05841a0c;
|
|||
-0b0e : 79802e83;
|
|||
-0b0f : 84388c3d;
|
|||
-0b10 : 58835590;
|
|||
-0b11 : 190881fb;
|
|||
-0b12 : 38800b90;
|
|||
-0b13 : 1a0c7483;
|
|||
-0b14 : 2e82e638;
|
|||
-0b15 : 7481ca38;
|
|||
-0b16 : 891a5790;
|
|||
-0b17 : 1908802e;
|
|||
-0b18 : 81a03880;
|
|||
-0b19 : 56771670;
|
|||
-0b1a : 33515574;
|
|||
-0b1b : a02e9b38;
|
|||
-0b1c : 74852e82;
|
|||
-0b1d : a9387477;
|
|||
-0b1e : 70810559;
|
|||
-0b1f : 34811670;
|
|||
-0b20 : 81ff0657;
|
|||
-0b21 : 55877627;
|
|||
-0b22 : dc388818;
|
|||
-0b23 : 335574a0;
|
|||
-0b24 : 2ea938ae;
|
|||
-0b25 : 77708105;
|
|||
-0b26 : 59348856;
|
|||
+07b6 : fb8c51ab;
|
|||
+07b7 : ba3fff17;
|
|||
+07b8 : 70872b83;
|
|||
+07b9 : ffff8006;
|
|||
+07ba : 80fbb405;
|
|||
+07bb : 83e0c858;
|
|||
+07bc : 56548180;
|
|||
+07bd : 54747081;
|
|||
+07be : 05563376;
|
|||
+07bf : 70810558;
|
|||
+07c0 : 34ff1470;
|
|||
+07c1 : 81ff0651;
|
|||
+07c2 : 5473802e;
|
|||
+07c3 : fead3874;
|
|||
+07c4 : 70810556;
|
|||
+07c5 : 33767081;
|
|||
+07c6 : 055834ff;
|
|||
+07c7 : 147081ff;
|
|||
+07c8 : 06515473;
|
|||
+07c9 : d038fe93;
|
|||
+07ca : 390b0b80;
|
|||
+07cb : fb9451aa;
|
|||
+07cc : e63f7475;
|
|||
+07cd : 5957800b;
|
|||
+07ce : 83e2d818;
|
|||
+07cf : 337081ff;
|
|||
+07d0 : 06ffbf11;
|
|||
+07d1 : 57575b5b;
|
|||
+07d2 : 73992683;
|
|||
+07d3 : 38815b80;
|
|||
+07d4 : 0bd01655;
|
|||
+07d5 : 56738926;
|
|||
+07d6 : 83388156;
|
|||
+07d7 : 7a760754;
|
|||
+07d8 : 73802e8f;
|
|||
+07d9 : 387983e0;
|
|||
+07da : c8193481;
|
|||
+07db : 187081ff;
|
|||
+07dc : 06595476;
|
|||
+07dd : 87327030;
|
|||
+07de : 70720780;
|
|||
+07df : 25798a32;
|
|||
+07e0 : 70307072;
|
|||
+07e1 : 07802573;
|
|||
+07e2 : 07535459;
|
|||
+07e3 : 51565474;
|
|||
+07e4 : 802e9a38;
|
|||
+07e5 : 77772695;
|
|||
+07e6 : 38a00b83;
|
|||
+07e7 : e0c81934;
|
|||
+07e8 : 81187081;
|
|||
+07e9 : ff065954;
|
|||
+07ea : 767827ed;
|
|||
+07eb : 38811770;
|
|||
+07ec : 81ff0658;
|
|||
+07ed : 548a7727;
|
|||
+07ee : fefc388f;
|
|||
+07ef : 5783e0c3;
|
|||
+07f0 : 173383e0;
|
|||
+07f1 : c81834ff;
|
|||
+07f2 : 177081ff;
|
|||
+07f3 : 06585476;
|
|||
+07f4 : 8426ea38;
|
|||
+07f5 : 9057800b;
|
|||
+07f6 : 83e0c818;
|
|||
+07f7 : 34811770;
|
|||
+07f8 : 81ff0670;
|
|||
+07f9 : 982b5258;
|
|||
+07fa : 54738025;
|
|||
+07fb : e93880c6;
|
|||
+07fc : 547b858f;
|
|||
+07fd : 24843880;
|
|||
+07fe : c2547383;
|
|||
+07ff : e0c83480;
|
|||
+0800 : f10b83e0;
|
|||
+0801 : cb34810b;
|
|||
+0802 : 83e0cc34;
|
|||
+0803 : 7b83e0c9;
|
|||
+0804 : 347b882c;
|
|||
+0805 : 547383e0;
|
|||
+0806 : ca340b0b;
|
|||
+0807 : 80faf851;
|
|||
+0808 : a8f53f81;
|
|||
+0809 : 8054fc9f;
|
|||
+080a : 390b0b80;
|
|||
+080b : fb9c51a8;
|
|||
+080c : e63f7b83;
|
|||
+080d : e0c9347b;
|
|||
+080e : 882c5473;
|
|||
+080f : 83e0ca34;
|
|||
+0810 : d9390b0b;
|
|||
+0811 : 80f6b851;
|
|||
+0812 : a8cd3f87;
|
|||
+0813 : e75681c8;
|
|||
+0814 : 51c8e13f;
|
|||
+0815 : 80fd8408;
|
|||
+0816 : 80fd9408;
|
|||
+0817 : 55557433;
|
|||
+0818 : 7434ff16;
|
|||
+0819 : 56758025;
|
|||
+081a : e538903d;
|
|||
+081b : 5d810b83;
|
|||
+081c : e0b40c83;
|
|||
+081d : e39851cd;
|
|||
+081e : c33f8051;
|
|||
+081f : cbed3f80;
|
|||
+0820 : fda00854;
|
|||
+0821 : 807434ca;
|
|||
+0822 : e83f8151;
|
|||
+0823 : cbdd3f80;
|
|||
+0824 : 51cbfc3f;
|
|||
+0825 : 83e38c08;
|
|||
+0826 : 860551a2;
|
|||
+0827 : fc3f8e3d;
|
|||
+0828 : 59f5b039;
|
|||
+0829 : ff3d0d80;
|
|||
+082a : 0b83e0ac;
|
|||
+082b : 0c800b83;
|
|||
+082c : e3a80c80;
|
|||
+082d : 0b83e0b4;
|
|||
+082e : 0c8151cb;
|
|||
+082f : d23fa80b;
|
|||
+0830 : 83e38c0c;
|
|||
+0831 : 83e0ac08;
|
|||
+0832 : 51a5bc3f;
|
|||
+0833 : 83e0ac08;
|
|||
+0834 : ab38f289;
|
|||
+0835 : 3f8151cb;
|
|||
+0836 : b63f8051;
|
|||
+0837 : cb8d3f80;
|
|||
+0838 : fda00852;
|
|||
+0839 : 807234ca;
|
|||
+083a : 883f8151;
|
|||
+083b : cafd3f80;
|
|||
+083c : 51cb9c3f;
|
|||
+083d : d8e43fd8;
|
|||
+083e : e13ff939;
|
|||
+083f : 8051caeb;
|
|||
+0840 : 3f80fda0;
|
|||
+0841 : 08528072;
|
|||
+0842 : 34c9e63f;
|
|||
+0843 : 8151cadb;
|
|||
+0844 : 3f8051ca;
|
|||
+0845 : fa3f80fa;
|
|||
+0846 : 898051c7;
|
|||
+0847 : 973ff1bd;
|
|||
+0848 : 3f8151ca;
|
|||
+0849 : ea3f8051;
|
|||
+084a : cac13f80;
|
|||
+084b : fda00852;
|
|||
+084c : 807234c9;
|
|||
+084d : bc3f8151;
|
|||
+084e : cab13f80;
|
|||
+084f : 51cad03f;
|
|||
+0850 : ffb239f7;
|
|||
+0851 : 3d0d7b83;
|
|||
+0852 : e0900857;
|
|||
+0853 : 58817827;
|
|||
+0854 : 9c387788;
|
|||
+0855 : 17082795;
|
|||
+0856 : 38753357;
|
|||
+0857 : 76822e81;
|
|||
+0858 : d0387682;
|
|||
+0859 : 24913876;
|
|||
+085a : 812e80e6;
|
|||
+085b : 38810b83;
|
|||
+085c : e0800c8b;
|
|||
+085d : 3d0d0476;
|
|||
+085e : 832e0981;
|
|||
+085f : 06ef3884;
|
|||
+0860 : 5477822b;
|
|||
+0861 : 83fc0653;
|
|||
+0862 : 77872a8c;
|
|||
+0863 : 17080552;
|
|||
+0864 : 8b3dfc05;
|
|||
+0865 : 51eef23f;
|
|||
+0866 : 83e08008;
|
|||
+0867 : d03802a7;
|
|||
+0868 : 05330284;
|
|||
+0869 : 05a60533;
|
|||
+086a : 71982b71;
|
|||
+086b : 902b0702;
|
|||
+086c : 8c05a505;
|
|||
+086d : 3370882b;
|
|||
+086e : 72078f3d;
|
|||
+086f : 337180ff;
|
|||
+0870 : fffe8006;
|
|||
+0871 : 0783e080;
|
|||
+0872 : 0c525c57;
|
|||
+0873 : 58568b3d;
|
|||
+0874 : 0d047783;
|
|||
+0875 : ffff0670;
|
|||
+0876 : 812a1170;
|
|||
+0877 : 83ffff06;
|
|||
+0878 : 7083ff06;
|
|||
+0879 : 71892a52;
|
|||
+087a : 5c515155;
|
|||
+087b : 7883ff2e;
|
|||
+087c : 80f23882;
|
|||
+087d : 5478538c;
|
|||
+087e : 16081552;
|
|||
+087f : 8b3dfc05;
|
|||
+0880 : 51ee863f;
|
|||
+0881 : 83e08008;
|
|||
+0882 : fee33802;
|
|||
+0883 : a505338b;
|
|||
+0884 : 3d337188;
|
|||
+0885 : 2b077981;
|
|||
+0886 : 0671842a;
|
|||
+0887 : 53575856;
|
|||
+0888 : 74863876;
|
|||
+0889 : 9fff0656;
|
|||
+088a : 7583e080;
|
|||
+088b : 0c8b3d0d;
|
|||
+088c : 04765477;
|
|||
+088d : 1083fe06;
|
|||
+088e : 5377882a;
|
|||
+088f : 8c170805;
|
|||
+0890 : 528b3dfc;
|
|||
+0891 : 0551edc1;
|
|||
+0892 : 3f83e080;
|
|||
+0893 : 08fe9e38;
|
|||
+0894 : 02a50533;
|
|||
+0895 : 8b3d3371;
|
|||
+0896 : 882b0783;
|
|||
+0897 : e0800c56;
|
|||
+0898 : 8b3d0d04;
|
|||
+0899 : 76547853;
|
|||
+089a : 8c160815;
|
|||
+089b : 528b3dfc;
|
|||
+089c : 0551ed95;
|
|||
+089d : 3f83e080;
|
|||
+089e : 08fdf238;
|
|||
+089f : 765483e0;
|
|||
+08a0 : 8008538c;
|
|||
+08a1 : 16081581;
|
|||
+08a2 : 05528b3d;
|
|||
+08a3 : fd0551fe;
|
|||
+08a4 : f039fb3d;
|
|||
+08a5 : 0d83e090;
|
|||
+08a6 : 08fe1988;
|
|||
+08a7 : 1208fe05;
|
|||
+08a8 : 55565480;
|
|||
+08a9 : 56747327;
|
|||
+08aa : 8d388214;
|
|||
+08ab : 33757129;
|
|||
+08ac : 94160805;
|
|||
+08ad : 57537583;
|
|||
+08ae : e0800c87;
|
|||
+08af : 3d0d04fc;
|
|||
+08b0 : 3d0d7683;
|
|||
+08b1 : e0900855;
|
|||
+08b2 : 55807523;
|
|||
+08b3 : 88150853;
|
|||
+08b4 : 72812e88;
|
|||
+08b5 : 38881408;
|
|||
+08b6 : 73268b38;
|
|||
+08b7 : 810b83e0;
|
|||
+08b8 : 800c863d;
|
|||
+08b9 : 0d047290;
|
|||
+08ba : 38733352;
|
|||
+08bb : 71832e09;
|
|||
+08bc : 81068538;
|
|||
+08bd : 90140853;
|
|||
+08be : 728c160c;
|
|||
+08bf : 72802e98;
|
|||
+08c0 : 387251ff;
|
|||
+08c1 : 8d3f83e0;
|
|||
+08c2 : 80089016;
|
|||
+08c3 : 0c805271;
|
|||
+08c4 : 83e0800c;
|
|||
+08c5 : 863d0d04;
|
|||
+08c6 : 90140890;
|
|||
+08c7 : 160c8052;
|
|||
+08c8 : ee39fa3d;
|
|||
+08c9 : 0d7883e0;
|
|||
+08ca : 90087122;
|
|||
+08cb : 81057083;
|
|||
+08cc : ffff0657;
|
|||
+08cd : 54575573;
|
|||
+08ce : 802eb738;
|
|||
+08cf : 90150853;
|
|||
+08d0 : 72802eae;
|
|||
+08d1 : 38738f06;
|
|||
+08d2 : 52719938;
|
|||
+08d3 : 81139016;
|
|||
+08d4 : 0c8c1508;
|
|||
+08d5 : 5372a538;
|
|||
+08d6 : 830b8417;
|
|||
+08d7 : 22575273;
|
|||
+08d8 : 76278638;
|
|||
+08d9 : 73752380;
|
|||
+08da : 527183e0;
|
|||
+08db : 800c883d;
|
|||
+08dc : 0d04830b;
|
|||
+08dd : 83e0800c;
|
|||
+08de : 883d0d04;
|
|||
+08df : 821633ff;
|
|||
+08e0 : 0574842a;
|
|||
+08e1 : 065271dc;
|
|||
+08e2 : 387251fb;
|
|||
+08e3 : b63f8152;
|
|||
+08e4 : 7183e080;
|
|||
+08e5 : 0827d238;
|
|||
+08e6 : 835283e0;
|
|||
+08e7 : 80088817;
|
|||
+08e8 : 0827c638;
|
|||
+08e9 : 83e08008;
|
|||
+08ea : 8c160c83;
|
|||
+08eb : e0800851;
|
|||
+08ec : fde03f83;
|
|||
+08ed : e0800890;
|
|||
+08ee : 160c7375;
|
|||
+08ef : 238052ff;
|
|||
+08f0 : a839f23d;
|
|||
+08f1 : 0d606264;
|
|||
+08f2 : 70335858;
|
|||
+08f3 : 5e5e74a0;
|
|||
+08f4 : 2e098106;
|
|||
+08f5 : 8e388116;
|
|||
+08f6 : 70447033;
|
|||
+08f7 : 565674a0;
|
|||
+08f8 : 2ef43875;
|
|||
+08f9 : 335574af;
|
|||
+08fa : 2e829c38;
|
|||
+08fb : 800b881f;
|
|||
+08fc : 0c753355;
|
|||
+08fd : a0752782;
|
|||
+08fe : a138933d;
|
|||
+08ff : 841f0870;
|
|||
+0900 : 585c5f8a;
|
|||
+0901 : 55a07670;
|
|||
+0902 : 81055834;
|
|||
+0903 : ff155574;
|
|||
+0904 : ff2e0981;
|
|||
+0905 : 06ef3880;
|
|||
+0906 : 70595988;
|
|||
+0907 : 7f085d5a;
|
|||
+0908 : 78811a70;
|
|||
+0909 : 81ff067e;
|
|||
+090a : 13703370;
|
|||
+090b : af327030;
|
|||
+090c : a0732771;
|
|||
+090d : 80250751;
|
|||
+090e : 51525b53;
|
|||
+090f : 5b575574;
|
|||
+0910 : 80d33876;
|
|||
+0911 : ae2e81e8;
|
|||
+0912 : 38777a27;
|
|||
+0913 : 75075574;
|
|||
+0914 : 802e81e8;
|
|||
+0915 : 38798832;
|
|||
+0916 : 703078ae;
|
|||
+0917 : 32703070;
|
|||
+0918 : 73079f2a;
|
|||
+0919 : 53515751;
|
|||
+091a : 5675aa38;
|
|||
+091b : 88588b79;
|
|||
+091c : 811b7081;
|
|||
+091d : ff067f13;
|
|||
+091e : 703370af;
|
|||
+091f : 327030a0;
|
|||
+0920 : 73277180;
|
|||
+0921 : 25075151;
|
|||
+0922 : 525c535c;
|
|||
+0923 : 58565a74;
|
|||
+0924 : 802effaf;
|
|||
+0925 : 387b197f;
|
|||
+0926 : 0c805576;
|
|||
+0927 : a0268338;
|
|||
+0928 : 8155748b;
|
|||
+0929 : 1c347d51;
|
|||
+092a : fc953f83;
|
|||
+092b : e080085a;
|
|||
+092c : 83e08008;
|
|||
+092d : 802e81d9;
|
|||
+092e : 38795679;
|
|||
+092f : 82b03884;
|
|||
+0930 : 1e088b11;
|
|||
+0931 : 33565b74;
|
|||
+0932 : 80dd388b;
|
|||
+0933 : 1d337084;
|
|||
+0934 : 2a708106;
|
|||
+0935 : 51565774;
|
|||
+0936 : 802e82a7;
|
|||
+0937 : 38951d33;
|
|||
+0938 : 941e3371;
|
|||
+0939 : 982b7190;
|
|||
+093a : 2b077f9b;
|
|||
+093b : 0533609a;
|
|||
+093c : 05337188;
|
|||
+093d : 2b077207;
|
|||
+093e : 6288050c;
|
|||
+093f : 7e525a58;
|
|||
+0940 : 56588a55;
|
|||
+0941 : fdff3981;
|
|||
+0942 : 16704456;
|
|||
+0943 : 800b881f;
|
|||
+0944 : 0c753355;
|
|||
+0945 : 74a026fd;
|
|||
+0946 : e1387d51;
|
|||
+0947 : fba13f83;
|
|||
+0948 : e0800856;
|
|||
+0949 : 807d3475;
|
|||
+094a : 83e0800c;
|
|||
+094b : 903d0d04;
|
|||
+094c : 8170797c;
|
|||
+094d : 27075155;
|
|||
+094e : 74fe9a38;
|
|||
+094f : ff9f1755;
|
|||
+0950 : 74992689;
|
|||
+0951 : 38e01770;
|
|||
+0952 : 81ff0658;
|
|||
+0953 : 55778119;
|
|||
+0954 : 7081ff06;
|
|||
+0955 : 7d13535a;
|
|||
+0956 : 57557675;
|
|||
+0957 : 34fdc139;
|
|||
+0958 : ff175776;
|
|||
+0959 : ff2e80fd;
|
|||
+095a : 38787081;
|
|||
+095b : 055a3378;
|
|||
+095c : 7081055a;
|
|||
+095d : 33717131;
|
|||
+095e : 52565675;
|
|||
+095f : 802ee138;
|
|||
+0960 : 7d51fb9e;
|
|||
+0961 : 3f83e080;
|
|||
+0962 : 085a83e0;
|
|||
+0963 : 8008fea9;
|
|||
+0964 : 38a0547d;
|
|||
+0965 : 2270852b;
|
|||
+0966 : 83e00654;
|
|||
+0967 : 55901e08;
|
|||
+0968 : 527c51e6;
|
|||
+0969 : e43f83e0;
|
|||
+096a : 80085a83;
|
|||
+096b : e0800880;
|
|||
+096c : dc387c33;
|
|||
+096d : 5574802e;
|
|||
+096e : 80dd388b;
|
|||
+096f : 1d337083;
|
|||
+0970 : 2a708106;
|
|||
+0971 : 51565674;
|
|||
+0972 : ffb6388b;
|
|||
+0973 : 7d7f8405;
|
|||
+0974 : 0883e080;
|
|||
+0975 : 08ff1454;
|
|||
+0976 : 595a5a57;
|
|||
+0977 : 76ff2e09;
|
|||
+0978 : 8106ff85;
|
|||
+0979 : 3875ff98;
|
|||
+097a : 387956fd;
|
|||
+097b : d2397983;
|
|||
+097c : 2e098106;
|
|||
+097d : feb13884;
|
|||
+097e : 1e088b11;
|
|||
+097f : 33515574;
|
|||
+0980 : fea53884;
|
|||
+0981 : 0b83e080;
|
|||
+0982 : 0c903d0d;
|
|||
+0983 : 04810b83;
|
|||
+0984 : e0800c90;
|
|||
+0985 : 3d0d0483;
|
|||
+0986 : 0b841f08;
|
|||
+0987 : 8b113351;
|
|||
+0988 : 565674fe;
|
|||
+0989 : 8238dc39;
|
|||
+098a : f83d0d7a;
|
|||
+098b : 7c595782;
|
|||
+098c : 5483fe53;
|
|||
+098d : 77527651;
|
|||
+098e : e5cf3f83;
|
|||
+098f : 5683e080;
|
|||
+0990 : 0880ec38;
|
|||
+0991 : 81173377;
|
|||
+0992 : 3371882b;
|
|||
+0993 : 07565682;
|
|||
+0994 : 567482d4;
|
|||
+0995 : d52e0981;
|
|||
+0996 : 0680d438;
|
|||
+0997 : 7554b653;
|
|||
+0998 : 77527651;
|
|||
+0999 : e5a33f83;
|
|||
+099a : e0800898;
|
|||
+099b : 38811733;
|
|||
+099c : 77337188;
|
|||
+099d : 2b0783e0;
|
|||
+099e : 80085256;
|
|||
+099f : 56748182;
|
|||
+09a0 : c62eac38;
|
|||
+09a1 : 825480d2;
|
|||
+09a2 : 53775276;
|
|||
+09a3 : 51e4fa3f;
|
|||
+09a4 : 83e08008;
|
|||
+09a5 : 98388117;
|
|||
+09a6 : 33773371;
|
|||
+09a7 : 882b0783;
|
|||
+09a8 : e0800852;
|
|||
+09a9 : 56567481;
|
|||
+09aa : 82c62e83;
|
|||
+09ab : 38815675;
|
|||
+09ac : 83e0800c;
|
|||
+09ad : 8a3d0d04;
|
|||
+09ae : ec3d0d66;
|
|||
+09af : 58800b83;
|
|||
+09b0 : e0900c77;
|
|||
+09b1 : 5677802e;
|
|||
+09b2 : 82d438ff;
|
|||
+09b3 : bfe33f83;
|
|||
+09b4 : e0800881;
|
|||
+09b5 : 06558256;
|
|||
+09b6 : 7482c338;
|
|||
+09b7 : 7475538e;
|
|||
+09b8 : 3d705358;
|
|||
+09b9 : 59fec13f;
|
|||
+09ba : 83e08008;
|
|||
+09bb : 81ff0656;
|
|||
+09bc : 75812e82;
|
|||
+09bd : b2387583;
|
|||
+09be : 2e838838;
|
|||
+09bf : 75838e38;
|
|||
+09c0 : a4548d53;
|
|||
+09c1 : 78527651;
|
|||
+09c2 : e3ff3f81;
|
|||
+09c3 : 5683e080;
|
|||
+09c4 : 08828b38;
|
|||
+09c5 : 02ba0533;
|
|||
+09c6 : 028405b9;
|
|||
+09c7 : 05337188;
|
|||
+09c8 : 2b07585c;
|
|||
+09c9 : 76ab3802;
|
|||
+09ca : 80ca0533;
|
|||
+09cb : 02840580;
|
|||
+09cc : c9053371;
|
|||
+09cd : 982b7190;
|
|||
+09ce : 2b07963d;
|
|||
+09cf : 3370882b;
|
|||
+09d0 : 72070294;
|
|||
+09d1 : 0580c705;
|
|||
+09d2 : 33710754;
|
|||
+09d3 : 525d5758;
|
|||
+09d4 : 5602b305;
|
|||
+09d5 : 33777129;
|
|||
+09d6 : 028805b2;
|
|||
+09d7 : 0533028c;
|
|||
+09d8 : 05b10533;
|
|||
+09d9 : 71882b07;
|
|||
+09da : 7c11708c;
|
|||
+09db : 1e0c5e59;
|
|||
+09dc : 57585c8d;
|
|||
+09dd : 3d338219;
|
|||
+09de : 3402b505;
|
|||
+09df : 338f3d33;
|
|||
+09e0 : 71882b07;
|
|||
+09e1 : 5a5b7884;
|
|||
+09e2 : 192302b7;
|
|||
+09e3 : 05330284;
|
|||
+09e4 : 05b60533;
|
|||
+09e5 : 71882b07;
|
|||
+09e6 : 565b74ab;
|
|||
+09e7 : 380280c6;
|
|||
+09e8 : 05330284;
|
|||
+09e9 : 0580c505;
|
|||
+09ea : 3371982b;
|
|||
+09eb : 71902b07;
|
|||
+09ec : 953d3370;
|
|||
+09ed : 882b7207;
|
|||
+09ee : 02940580;
|
|||
+09ef : c3053371;
|
|||
+09f0 : 07515253;
|
|||
+09f1 : 575d5b74;
|
|||
+09f2 : 76317731;
|
|||
+09f3 : 79842a8f;
|
|||
+09f4 : 3d335471;
|
|||
+09f5 : 71315356;
|
|||
+09f6 : 569dd13f;
|
|||
+09f7 : 83e08008;
|
|||
+09f8 : 82057088;
|
|||
+09f9 : 1a0c709f;
|
|||
+09fa : f6268105;
|
|||
+09fb : 575583ff;
|
|||
+09fc : f6752783;
|
|||
+09fd : 38835675;
|
|||
+09fe : 78347583;
|
|||
+09ff : 2e819838;
|
|||
+0a00 : 761a9019;
|
|||
+0a01 : 0c841822;
|
|||
+0a02 : 771b7184;
|
|||
+0a03 : 2a05941a;
|
|||
+0a04 : 0c55800b;
|
|||
+0a05 : 81193477;
|
|||
+0a06 : 83e0900c;
|
|||
+0a07 : 80567583;
|
|||
+0a08 : e0800c96;
|
|||
+0a09 : 3d0d0490;
|
|||
+0a0a : 5483be53;
|
|||
+0a0b : 74527651;
|
|||
+0a0c : e1d73f83;
|
|||
+0a0d : e0800880;
|
|||
+0a0e : ca388e3d;
|
|||
+0a0f : 33557480;
|
|||
+0a10 : 2e80ca38;
|
|||
+0a11 : 02bb0533;
|
|||
+0a12 : 028405ba;
|
|||
+0a13 : 05337198;
|
|||
+0a14 : 2b71902b;
|
|||
+0a15 : 07028c05;
|
|||
+0a16 : b9053370;
|
|||
+0a17 : 882b7207;
|
|||
+0a18 : 943d3371;
|
|||
+0a19 : 0770587c;
|
|||
+0a1a : 5754525d;
|
|||
+0a1b : 575a56fb;
|
|||
+0a1c : b73f83e0;
|
|||
+0a1d : 800881ff;
|
|||
+0a1e : 06567583;
|
|||
+0a1f : 2e098106;
|
|||
+0a20 : fcfa3881;
|
|||
+0a21 : 0b83e080;
|
|||
+0a22 : 0c963d0d;
|
|||
+0a23 : 04870b83;
|
|||
+0a24 : e0800c96;
|
|||
+0a25 : 3d0d0402;
|
|||
+0a26 : 80d20533;
|
|||
+0a27 : 02840580;
|
|||
+0a28 : d1053371;
|
|||
+0a29 : 982b7190;
|
|||
+0a2a : 2b07983d;
|
|||
+0a2b : 3370882b;
|
|||
+0a2c : 72070294;
|
|||
+0a2d : 0580cf05;
|
|||
+0a2e : 33710790;
|
|||
+0a2f : 1e0c5284;
|
|||
+0a30 : 1c227b1f;
|
|||
+0a31 : 71842a05;
|
|||
+0a32 : 941e0c52;
|
|||
+0a33 : 5e575a56;
|
|||
+0a34 : 800b8119;
|
|||
+0a35 : 347783e0;
|
|||
+0a36 : 900c8056;
|
|||
+0a37 : fec039e9;
|
|||
+0a38 : 3d0d83e0;
|
|||
+0a39 : 90085686;
|
|||
+0a3a : 5475802e;
|
|||
+0a3b : be38800b;
|
|||
+0a3c : 81173499;
|
|||
+0a3d : 3de01146;
|
|||
+0a3e : 6a54c011;
|
|||
+0a3f : 53ec0551;
|
|||
+0a40 : f5c03f83;
|
|||
+0a41 : e0800854;
|
|||
+0a42 : 83e08008;
|
|||
+0a43 : 9e38893d;
|
|||
+0a44 : 33547380;
|
|||
+0a45 : 2e933802;
|
|||
+0a46 : ab053370;
|
|||
+0a47 : 842a7081;
|
|||
+0a48 : 06515555;
|
|||
+0a49 : 73802e8c;
|
|||
+0a4a : 38835473;
|
|||
+0a4b : 83e0800c;
|
|||
+0a4c : 993d0d04;
|
|||
+0a4d : 02b50533;
|
|||
+0a4e : 8f3d3371;
|
|||
+0a4f : 982b7190;
|
|||
+0a50 : 2b07028c;
|
|||
+0a51 : 05bb0533;
|
|||
+0a52 : 029005ba;
|
|||
+0a53 : 05337188;
|
|||
+0a54 : 2b077207;
|
|||
+0a55 : a01b0c02;
|
|||
+0a56 : 9005bf05;
|
|||
+0a57 : 33029405;
|
|||
+0a58 : be053371;
|
|||
+0a59 : 982b7190;
|
|||
+0a5a : 2b07029c;
|
|||
+0a5b : 05bd0533;
|
|||
+0a5c : 70882b72;
|
|||
+0a5d : 07993d33;
|
|||
+0a5e : 71077f9c;
|
|||
+0a5f : 050c5283;
|
|||
+0a60 : e0800898;
|
|||
+0a61 : 1f0c565a;
|
|||
+0a62 : 52525357;
|
|||
+0a63 : 5957810b;
|
|||
+0a64 : 81173483;
|
|||
+0a65 : e0800883;
|
|||
+0a66 : e0800c99;
|
|||
+0a67 : 3d0d04f4;
|
|||
+0a68 : 3d0d7e61;
|
|||
+0a69 : 028805be;
|
|||
+0a6a : 05227283;
|
|||
+0a6b : e090085c;
|
|||
+0a6c : 5d5b5c5c;
|
|||
+0a6d : 807b2386;
|
|||
+0a6e : 5677802e;
|
|||
+0a6f : 81ca3881;
|
|||
+0a70 : 18338106;
|
|||
+0a71 : 55855674;
|
|||
+0a72 : 802e81bc;
|
|||
+0a73 : 38981808;
|
|||
+0a74 : 9c190871;
|
|||
+0a75 : 31565778;
|
|||
+0a76 : 752681b5;
|
|||
+0a77 : 3878802e;
|
|||
+0a78 : 81a43876;
|
|||
+0a79 : 83ff0655;
|
|||
+0a7a : 7480c138;
|
|||
+0a7b : 821833ff;
|
|||
+0a7c : 0577892a;
|
|||
+0a7d : 067081ff;
|
|||
+0a7e : 06515574;
|
|||
+0a7f : 93387681;
|
|||
+0a80 : 9938a018;
|
|||
+0a81 : 08568176;
|
|||
+0a82 : 2781a338;
|
|||
+0a83 : 75a4190c;
|
|||
+0a84 : a4180851;
|
|||
+0a85 : f0fc3f83;
|
|||
+0a86 : e0800880;
|
|||
+0a87 : 2e818f38;
|
|||
+0a88 : 83e08008;
|
|||
+0a89 : 15a8190c;
|
|||
+0a8a : 98180857;
|
|||
+0a8b : 7683ff06;
|
|||
+0a8c : 84807131;
|
|||
+0a8d : 7083ffff;
|
|||
+0a8e : 06585155;
|
|||
+0a8f : 78762783;
|
|||
+0a90 : 38785675;
|
|||
+0a91 : 54981808;
|
|||
+0a92 : 83ff0653;
|
|||
+0a93 : a8180852;
|
|||
+0a94 : 79557b80;
|
|||
+0a95 : 2e80d238;
|
|||
+0a96 : 7451ddad;
|
|||
+0a97 : 3f83e080;
|
|||
+0a98 : 0880cb38;
|
|||
+0a99 : 98180816;
|
|||
+0a9a : 70589819;
|
|||
+0a9b : 0c751a79;
|
|||
+0a9c : 77317083;
|
|||
+0a9d : ffff067d;
|
|||
+0a9e : 22790552;
|
|||
+0a9f : 5b565a74;
|
|||
+0aa0 : 7b2378fe;
|
|||
+0aa1 : de388056;
|
|||
+0aa2 : 7583e080;
|
|||
+0aa3 : 0c8e3d0d;
|
|||
+0aa4 : 047483ff;
|
|||
+0aa5 : ff0659fe;
|
|||
+0aa6 : c439a418;
|
|||
+0aa7 : 0851eda3;
|
|||
+0aa8 : 3f83e080;
|
|||
+0aa9 : 0856fede;
|
|||
+0aaa : 397b55ff;
|
|||
+0aab : ab39800b;
|
|||
+0aac : 81193481;
|
|||
+0aad : 0b83e080;
|
|||
+0aae : 0c8e3d0d;
|
|||
+0aaf : 04fa3d0d;
|
|||
+0ab0 : 7883e090;
|
|||
+0ab1 : 08555586;
|
|||
+0ab2 : 5673802e;
|
|||
+0ab3 : 81cd3881;
|
|||
+0ab4 : 14338106;
|
|||
+0ab5 : 53855672;
|
|||
+0ab6 : 802e81bf;
|
|||
+0ab7 : 389c1408;
|
|||
+0ab8 : 53747326;
|
|||
+0ab9 : 81be3898;
|
|||
+0aba : 14085780;
|
|||
+0abb : 0b98150c;
|
|||
+0abc : 74802e81;
|
|||
+0abd : a4388214;
|
|||
+0abe : 3370892b;
|
|||
+0abf : 57537680;
|
|||
+0ac0 : 2e81a638;
|
|||
+0ac1 : 7552ff15;
|
|||
+0ac2 : 5197a13f;
|
|||
+0ac3 : 83e08008;
|
|||
+0ac4 : ff187754;
|
|||
+0ac5 : 70535853;
|
|||
+0ac6 : 97923f83;
|
|||
+0ac7 : e0800873;
|
|||
+0ac8 : 26818638;
|
|||
+0ac9 : 75307078;
|
|||
+0aca : 06709817;
|
|||
+0acb : 0c767131;
|
|||
+0acc : a4170852;
|
|||
+0acd : 57515375;
|
|||
+0ace : 7527b738;
|
|||
+0acf : 7251ec83;
|
|||
+0ad0 : 3f83e080;
|
|||
+0ad1 : 0853810b;
|
|||
+0ad2 : 83e08008;
|
|||
+0ad3 : 2780e538;
|
|||
+0ad4 : 83e08008;
|
|||
+0ad5 : 88150827;
|
|||
+0ad6 : 80da3883;
|
|||
+0ad7 : e08008a4;
|
|||
+0ad8 : 150c9814;
|
|||
+0ad9 : 08169815;
|
|||
+0ada : 0c747631;
|
|||
+0adb : 55747626;
|
|||
+0adc : cb389814;
|
|||
+0add : 08157098;
|
|||
+0ade : 160c7352;
|
|||
+0adf : 56ee933f;
|
|||
+0ae0 : 83e08008;
|
|||
+0ae1 : 802ead38;
|
|||
+0ae2 : 821433ff;
|
|||
+0ae3 : 0576892a;
|
|||
+0ae4 : 0683e080;
|
|||
+0ae5 : 0805a815;
|
|||
+0ae6 : 0c805675;
|
|||
+0ae7 : 83e0800c;
|
|||
+0ae8 : 883d0d04;
|
|||
+0ae9 : 7255febf;
|
|||
+0aea : 39a01408;
|
|||
+0aeb : 70a4160c;
|
|||
+0aec : 53ff8439;
|
|||
+0aed : 800b8115;
|
|||
+0aee : 34810b83;
|
|||
+0aef : e0800c88;
|
|||
+0af0 : 3d0d04ee;
|
|||
+0af1 : 3d0d6456;
|
|||
+0af2 : 865583e0;
|
|||
+0af3 : 9008802e;
|
|||
+0af4 : 80f13894;
|
|||
+0af5 : 3df41184;
|
|||
+0af6 : 180c6654;
|
|||
+0af7 : d4055275;
|
|||
+0af8 : 51efdf3f;
|
|||
+0af9 : 83e08008;
|
|||
+0afa : 5583e080;
|
|||
+0afb : 0880cf38;
|
|||
+0afc : 893d3354;
|
|||
+0afd : 73802ebc;
|
|||
+0afe : 3802ab05;
|
|||
+0aff : 3370842a;
|
|||
+0b00 : 70810651;
|
|||
+0b01 : 55558455;
|
|||
+0b02 : 73802eb7;
|
|||
+0b03 : 3802b505;
|
|||
+0b04 : 338f3d33;
|
|||
+0b05 : 71982b71;
|
|||
+0b06 : 902b0702;
|
|||
+0b07 : 8c05bb05;
|
|||
+0b08 : 33029005;
|
|||
+0b09 : ba053371;
|
|||
+0b0a : 882b0772;
|
|||
+0b0b : 07881b0c;
|
|||
+0b0c : 53575957;
|
|||
+0b0d : 7551ed87;
|
|||
+0b0e : 3f83e080;
|
|||
+0b0f : 08557483;
|
|||
+0b10 : 2e8a3874;
|
|||
+0b11 : 83e0800c;
|
|||
+0b12 : 943d0d04;
|
|||
+0b13 : 840b83e0;
|
|||
+0b14 : 800c943d;
|
|||
+0b15 : 0d04eb3d;
|
|||
+0b16 : 0d67695b;
|
|||
+0b17 : 59865583;
|
|||
+0b18 : e0900880;
|
|||
+0b19 : 2e81f138;
|
|||
+0b1a : 973df405;
|
|||
+0b1b : 841a0c79;
|
|||
+0b1c : 802e8384;
|
|||
+0b1d : 388c3d58;
|
|||
+0b1e : 83559019;
|
|||
+0b1f : 0881fb38;
|
|||
+0b20 : 800b901a;
|
|||
+0b21 : 0c74832e;
|
|||
+0b22 : 82e63874;
|
|||
+0b23 : 81ca3889;
|
|||
+0b24 : 1a579019;
|
|||
+0b25 : 08802e81;
|
|||
+0b26 : a0388056;
|
|||
0b27 : 77167033;
|
|||
0b28 : 515574a0;
|
|||
-0b29 : 2e953874;
|
|||
-0b2a : 77708105;
|
|||
-0b2b : 59348116;
|
|||
-0b2c : 7081ff06;
|
|||
-0b2d : 57558a76;
|
|||
-0b2e : 27e2388b;
|
|||
-0b2f : 1833881b;
|
|||
-0b30 : 349f1833;
|
|||
-0b31 : 9e193371;
|
|||
-0b32 : 982b7190;
|
|||
-0b33 : 2b079d1b;
|
|||
-0b34 : 3370882b;
|
|||
-0b35 : 72079c1d;
|
|||
-0b36 : 3371077f;
|
|||
-0b37 : 0c52991c;
|
|||
-0b38 : 33981d33;
|
|||
-0b39 : 71882b07;
|
|||
-0b3a : 53515357;
|
|||
-0b3b : 5c567484;
|
|||
-0b3c : 1b239718;
|
|||
-0b3d : 33961933;
|
|||
-0b3e : 71882b07;
|
|||
-0b3f : 56567486;
|
|||
-0b40 : 1b238077;
|
|||
-0b41 : 347851eb;
|
|||
-0b42 : e33f83e0;
|
|||
-0b43 : 80085583;
|
|||
-0b44 : e0800883;
|
|||
-0b45 : 2e098106;
|
|||
-0b46 : 8838800b;
|
|||
-0b47 : 901a0c80;
|
|||
-0b48 : 557483e0;
|
|||
-0b49 : 800c973d;
|
|||
-0b4a : 0d047851;
|
|||
-0b4b : ebbe3f83;
|
|||
-0b4c : e0800855;
|
|||
-0b4d : 83e08008;
|
|||
-0b4e : fe8f3890;
|
|||
-0b4f : 1908802e;
|
|||
-0b50 : fe8c38a0;
|
|||
-0b51 : 54782270;
|
|||
-0b52 : 852b83e0;
|
|||
-0b53 : 06545690;
|
|||
-0b54 : 19085277;
|
|||
-0b55 : 51d7ae3f;
|
|||
-0b56 : 83e08008;
|
|||
-0b57 : 80f43877;
|
|||
-0b58 : 33567580;
|
|||
-0b59 : 2e80f538;
|
|||
-0b5a : 8b1833bf;
|
|||
-0b5b : 067681e5;
|
|||
-0b5c : 32703070;
|
|||
-0b5d : 9f2a5151;
|
|||
-0b5e : 565775ae;
|
|||
-0b5f : 2effab38;
|
|||
-0b60 : 74802eff;
|
|||
-0b61 : a5387683;
|
|||
-0b62 : 2a708106;
|
|||
-0b63 : 515574ff;
|
|||
-0b64 : 993883e0;
|
|||
-0b65 : 80085574;
|
|||
-0b66 : ff8738fd;
|
|||
-0b67 : bb3981e5;
|
|||
-0b68 : 55747770;
|
|||
-0b69 : 81055934;
|
|||
-0b6a : 81167081;
|
|||
-0b6b : ff065755;
|
|||
-0b6c : 877627fd;
|
|||
-0b6d : b038fdd2;
|
|||
-0b6e : 39800b90;
|
|||
-0b6f : 1a0cfd98;
|
|||
-0b70 : 397851e9;
|
|||
-0b71 : c43f83e0;
|
|||
-0b72 : 800883e0;
|
|||
-0b73 : 800c973d;
|
|||
-0b74 : 0d048155;
|
|||
-0b75 : 800b901a;
|
|||
-0b76 : 0cfcf339;
|
|||
-0b77 : 8355800b;
|
|||
-0b78 : 901a0cfc;
|
|||
-0b79 : e939803d;
|
|||
-0b7a : 0d85db3f;
|
|||
-0b7b : 805185f0;
|
|||
-0b7c : 3f823d0d;
|
|||
-0b7d : 04ff3d0d;
|
|||
-0b7e : 83e3e852;
|
|||
-0b7f : 81805186;
|
|||
-0b80 : d03f83e0;
|
|||
-0b81 : 80087270;
|
|||
-0b82 : 81055434;
|
|||
-0b83 : ff117083;
|
|||
-0b84 : ffff0651;
|
|||
-0b85 : 5170e838;
|
|||
-0b86 : 81805186;
|
|||
-0b87 : b43f83e0;
|
|||
-0b88 : 80087270;
|
|||
-0b89 : 81055434;
|
|||
-0b8a : ff117083;
|
|||
-0b8b : ffff0651;
|
|||
-0b8c : 5170e838;
|
|||
-0b8d : 81805186;
|
|||
-0b8e : 983f83e0;
|
|||
-0b8f : 80087270;
|
|||
-0b90 : 81055434;
|
|||
-0b91 : ff117083;
|
|||
-0b92 : ffff0651;
|
|||
-0b93 : 5170e838;
|
|||
-0b94 : 81805185;
|
|||
-0b95 : fc3f83e0;
|
|||
-0b96 : 80087270;
|
|||
-0b97 : 81055434;
|
|||
-0b98 : ff117083;
|
|||
-0b99 : ffff0651;
|
|||
-0b9a : 5170e838;
|
|||
-0b9b : 833d0d04;
|
|||
-0b9c : fd3d0d76;
|
|||
-0b9d : 02840597;
|
|||
-0b9e : 05335353;
|
|||
-0b9f : 81ff5485;
|
|||
-0ba0 : d03f85cd;
|
|||
-0ba1 : 3f85ca3f;
|
|||
-0ba2 : 85c73f71;
|
|||
-0ba3 : 80c00751;
|
|||
-0ba4 : 84e43f72;
|
|||
-0ba5 : 982a5184;
|
|||
-0ba6 : dd3f7290;
|
|||
-0ba7 : 2a7081ff;
|
|||
-0ba8 : 06525284;
|
|||
-0ba9 : d13f7288;
|
|||
-0baa : 2a7081ff;
|
|||
-0bab : 06525284;
|
|||
-0bac : c53f7281;
|
|||
-0bad : ff065184;
|
|||
-0bae : bd3f8195;
|
|||
-0baf : 5184b73f;
|
|||
-0bb0 : 858f3f83;
|
|||
-0bb1 : e0800881;
|
|||
-0bb2 : ff06ff15;
|
|||
-0bb3 : 7081ff06;
|
|||
-0bb4 : 7030709f;
|
|||
-0bb5 : 2a515256;
|
|||
-0bb6 : 53537281;
|
|||
-0bb7 : ff2e0981;
|
|||
-0bb8 : 06843871;
|
|||
-0bb9 : db387283;
|
|||
-0bba : e0800c85;
|
|||
-0bbb : 3d0d04fd;
|
|||
-0bbc : 3d0d8151;
|
|||
-0bbd : 83ea3f75;
|
|||
-0bbe : 892b5291;
|
|||
-0bbf : 51fef13f;
|
|||
-0bc0 : 83e08008;
|
|||
+0b29 : 2e9b3874;
|
|||
+0b2a : 852e82a9;
|
|||
+0b2b : 38747770;
|
|||
+0b2c : 81055934;
|
|||
+0b2d : 81167081;
|
|||
+0b2e : ff065755;
|
|||
+0b2f : 877627dc;
|
|||
+0b30 : 38881833;
|
|||
+0b31 : 5574a02e;
|
|||
+0b32 : a938ae77;
|
|||
+0b33 : 70810559;
|
|||
+0b34 : 34885677;
|
|||
+0b35 : 16703351;
|
|||
+0b36 : 5574a02e;
|
|||
+0b37 : 95387477;
|
|||
+0b38 : 70810559;
|
|||
+0b39 : 34811670;
|
|||
+0b3a : 81ff0657;
|
|||
+0b3b : 558a7627;
|
|||
+0b3c : e2388b18;
|
|||
+0b3d : 33881b34;
|
|||
+0b3e : 9f18339e;
|
|||
+0b3f : 19337198;
|
|||
+0b40 : 2b71902b;
|
|||
+0b41 : 079d1b33;
|
|||
+0b42 : 70882b72;
|
|||
+0b43 : 079c1d33;
|
|||
+0b44 : 71077f0c;
|
|||
+0b45 : 52991c33;
|
|||
+0b46 : 981d3371;
|
|||
+0b47 : 882b0753;
|
|||
+0b48 : 5153575c;
|
|||
+0b49 : 5674841b;
|
|||
+0b4a : 23971833;
|
|||
+0b4b : 96193371;
|
|||
+0b4c : 882b0756;
|
|||
+0b4d : 5674861b;
|
|||
+0b4e : 23807734;
|
|||
+0b4f : 7851ebe2;
|
|||
+0b50 : 3f83e080;
|
|||
+0b51 : 085583e0;
|
|||
+0b52 : 8008832e;
|
|||
+0b53 : 09810688;
|
|||
+0b54 : 38800b90;
|
|||
+0b55 : 1a0c8055;
|
|||
+0b56 : 7483e080;
|
|||
+0b57 : 0c973d0d;
|
|||
+0b58 : 047851eb;
|
|||
+0b59 : bd3f83e0;
|
|||
+0b5a : 80085583;
|
|||
+0b5b : e08008fe;
|
|||
+0b5c : 8f389019;
|
|||
+0b5d : 08802efe;
|
|||
+0b5e : 8c38a054;
|
|||
+0b5f : 78227085;
|
|||
+0b60 : 2b83e006;
|
|||
+0b61 : 54569019;
|
|||
+0b62 : 08527751;
|
|||
+0b63 : d6fb3f83;
|
|||
+0b64 : e0800880;
|
|||
+0b65 : f4387733;
|
|||
+0b66 : 5675802e;
|
|||
+0b67 : 80f5388b;
|
|||
+0b68 : 1833bf06;
|
|||
+0b69 : 7681e532;
|
|||
+0b6a : 7030709f;
|
|||
+0b6b : 2a515156;
|
|||
+0b6c : 5775ae2e;
|
|||
+0b6d : ffab3874;
|
|||
+0b6e : 802effa5;
|
|||
+0b6f : 3876832a;
|
|||
+0b70 : 70810651;
|
|||
+0b71 : 5574ff99;
|
|||
+0b72 : 3883e080;
|
|||
+0b73 : 085574ff;
|
|||
+0b74 : 8738fdbb;
|
|||
+0b75 : 3981e555;
|
|||
+0b76 : 74777081;
|
|||
+0b77 : 05593481;
|
|||
+0b78 : 167081ff;
|
|||
+0b79 : 06575587;
|
|||
+0b7a : 7627fdb0;
|
|||
+0b7b : 38fdd239;
|
|||
+0b7c : 800b901a;
|
|||
+0b7d : 0cfd9839;
|
|||
+0b7e : 7851e9c3;
|
|||
+0b7f : 3f83e080;
|
|||
+0b80 : 0883e080;
|
|||
+0b81 : 0c973d0d;
|
|||
+0b82 : 04815580;
|
|||
+0b83 : 0b901a0c;
|
|||
+0b84 : fcf33983;
|
|||
+0b85 : 55800b90;
|
|||
+0b86 : 1a0cfce9;
|
|||
+0b87 : 39803d0d;
|
|||
+0b88 : 85db3f80;
|
|||
+0b89 : 5185f03f;
|
|||
+0b8a : 823d0d04;
|
|||
+0b8b : ff3d0d83;
|
|||
+0b8c : e3e85281;
|
|||
+0b8d : 805186d0;
|
|||
+0b8e : 3f83e080;
|
|||
+0b8f : 08727081;
|
|||
+0b90 : 055434ff;
|
|||
+0b91 : 117083ff;
|
|||
+0b92 : ff065151;
|
|||
+0b93 : 70e83881;
|
|||
+0b94 : 805186b4;
|
|||
+0b95 : 3f83e080;
|
|||
+0b96 : 08727081;
|
|||
+0b97 : 055434ff;
|
|||
+0b98 : 117083ff;
|
|||
+0b99 : ff065151;
|
|||
+0b9a : 70e83881;
|
|||
+0b9b : 80518698;
|
|||
+0b9c : 3f83e080;
|
|||
+0b9d : 08727081;
|
|||
+0b9e : 055434ff;
|
|||
+0b9f : 117083ff;
|
|||
+0ba0 : ff065151;
|
|||
+0ba1 : 70e83881;
|
|||
+0ba2 : 805185fc;
|
|||
+0ba3 : 3f83e080;
|
|||
+0ba4 : 08727081;
|
|||
+0ba5 : 055434ff;
|
|||
+0ba6 : 117083ff;
|
|||
+0ba7 : ff065151;
|
|||
+0ba8 : 70e83883;
|
|||
+0ba9 : 3d0d04fd;
|
|||
+0baa : 3d0d7602;
|
|||
+0bab : 84059705;
|
|||
+0bac : 33535381;
|
|||
+0bad : ff5485d0;
|
|||
+0bae : 3f85cd3f;
|
|||
+0baf : 85ca3f85;
|
|||
+0bb0 : c73f7180;
|
|||
+0bb1 : c0075184;
|
|||
+0bb2 : e43f7298;
|
|||
+0bb3 : 2a5184dd;
|
|||
+0bb4 : 3f72902a;
|
|||
+0bb5 : 7081ff06;
|
|||
+0bb6 : 525284d1;
|
|||
+0bb7 : 3f72882a;
|
|||
+0bb8 : 7081ff06;
|
|||
+0bb9 : 525284c5;
|
|||
+0bba : 3f7281ff;
|
|||
+0bbb : 065184bd;
|
|||
+0bbc : 3f819551;
|
|||
+0bbd : 84b73f85;
|
|||
+0bbe : 8f3f83e0;
|
|||
+0bbf : 800881ff;
|
|||
+0bc0 : 06ff1570;
|
|||
0bc1 : 81ff0670;
|
|||
-0bc2 : 55537280;
|
|||
-0bc3 : 2e8a3873;
|
|||
-0bc4 : 83e0800c;
|
|||
-0bc5 : 853d0d04;
|
|||
-0bc6 : 84b73f83;
|
|||
-0bc7 : e0800881;
|
|||
-0bc8 : ff065372;
|
|||
-0bc9 : 81fe2e09;
|
|||
-0bca : 8106ed38;
|
|||
-0bcb : fdc73f84;
|
|||
-0bcc : a03f849d;
|
|||
-0bcd : 3f805183;
|
|||
-0bce : a73f800b;
|
|||
-0bcf : 83e0800c;
|
|||
-0bd0 : 853d0d04;
|
|||
-0bd1 : fe3d0d02;
|
|||
-0bd2 : 93053353;
|
|||
-0bd3 : 81518390;
|
|||
-0bd4 : 3f755272;
|
|||
-0bd5 : 51fe993f;
|
|||
-0bd6 : 83e08008;
|
|||
-0bd7 : 81ff0653;
|
|||
-0bd8 : 805182fc;
|
|||
-0bd9 : 3f7283e0;
|
|||
-0bda : 800c843d;
|
|||
-0bdb : 0d04fd3d;
|
|||
-0bdc : 0d81ff53;
|
|||
-0bdd : 83db3fff;
|
|||
-0bde : 137081ff;
|
|||
-0bdf : 06515372;
|
|||
-0be0 : f3387252;
|
|||
-0be1 : 7251ffbc;
|
|||
-0be2 : 3f83e080;
|
|||
-0be3 : 0881ff06;
|
|||
-0be4 : 5381ff54;
|
|||
-0be5 : 72812e09;
|
|||
-0be6 : 810680e2;
|
|||
-0be7 : 3883ffff;
|
|||
-0be8 : 548052b7;
|
|||
-0be9 : 51ff9d3f;
|
|||
-0bea : 83e08008;
|
|||
-0beb : 81ff0653;
|
|||
-0bec : 72812e09;
|
|||
-0bed : 8106a138;
|
|||
-0bee : 8052a951;
|
|||
-0bef : ff863f83;
|
|||
-0bf0 : e0800881;
|
|||
-0bf1 : ff065372;
|
|||
-0bf2 : 802e8d38;
|
|||
-0bf3 : ff147083;
|
|||
-0bf4 : ffff0655;
|
|||
-0bf5 : 5373ca38;
|
|||
-0bf6 : 80528151;
|
|||
-0bf7 : fee63f83;
|
|||
+0bc2 : 30709f2a;
|
|||
+0bc3 : 51525653;
|
|||
+0bc4 : 537281ff;
|
|||
+0bc5 : 2e098106;
|
|||
+0bc6 : 843871db;
|
|||
+0bc7 : 387283e0;
|
|||
+0bc8 : 800c853d;
|
|||
+0bc9 : 0d04fd3d;
|
|||
+0bca : 0d815183;
|
|||
+0bcb : ea3f7589;
|
|||
+0bcc : 2b529151;
|
|||
+0bcd : fef13f83;
|
|||
+0bce : e0800881;
|
|||
+0bcf : ff067055;
|
|||
+0bd0 : 5372802e;
|
|||
+0bd1 : 8a387383;
|
|||
+0bd2 : e0800c85;
|
|||
+0bd3 : 3d0d0484;
|
|||
+0bd4 : b73f83e0;
|
|||
+0bd5 : 800881ff;
|
|||
+0bd6 : 06537281;
|
|||
+0bd7 : fe2e0981;
|
|||
+0bd8 : 06ed38fd;
|
|||
+0bd9 : c73f84a0;
|
|||
+0bda : 3f849d3f;
|
|||
+0bdb : 805183a7;
|
|||
+0bdc : 3f800b83;
|
|||
+0bdd : e0800c85;
|
|||
+0bde : 3d0d04fe;
|
|||
+0bdf : 3d0d0293;
|
|||
+0be0 : 05335381;
|
|||
+0be1 : 5183903f;
|
|||
+0be2 : 75527251;
|
|||
+0be3 : fe993f83;
|
|||
+0be4 : e0800881;
|
|||
+0be5 : ff065380;
|
|||
+0be6 : 5182fc3f;
|
|||
+0be7 : 7283e080;
|
|||
+0be8 : 0c843d0d;
|
|||
+0be9 : 04fd3d0d;
|
|||
+0bea : 81ff5383;
|
|||
+0beb : db3fff13;
|
|||
+0bec : 7081ff06;
|
|||
+0bed : 515372f3;
|
|||
+0bee : 38725272;
|
|||
+0bef : 51ffbc3f;
|
|||
+0bf0 : 83e08008;
|
|||
+0bf1 : 81ff0653;
|
|||
+0bf2 : 81ff5472;
|
|||
+0bf3 : 812e0981;
|
|||
+0bf4 : 0680e238;
|
|||
+0bf5 : 83ffff54;
|
|||
+0bf6 : 8052b751;
|
|||
+0bf7 : ff9d3f83;
|
|||
0bf8 : e0800881;
|
|||
-0bf9 : ff065381;
|
|||
-0bfa : ff547292;
|
|||
-0bfb : 387252bb;
|
|||
-0bfc : 51fed13f;
|
|||
-0bfd : 84805290;
|
|||
-0bfe : 51fec93f;
|
|||
-0bff : 72547383;
|
|||
-0c00 : e0800c85;
|
|||
-0c01 : 3d0d04fb;
|
|||
-0c02 : 3d0d83e3;
|
|||
-0c03 : e8568151;
|
|||
-0c04 : 81ce3f77;
|
|||
-0c05 : 892b5298;
|
|||
-0c06 : 51fcd53f;
|
|||
-0c07 : 83e08008;
|
|||
-0c08 : 81ff0670;
|
|||
-0c09 : 56547380;
|
|||
-0c0a : 2e8a3874;
|
|||
-0c0b : 83e0800c;
|
|||
-0c0c : 873d0d04;
|
|||
-0c0d : 829b3f81;
|
|||
-0c0e : fe5181ba;
|
|||
-0c0f : 3f848053;
|
|||
-0c10 : 75708105;
|
|||
-0c11 : 57335181;
|
|||
-0c12 : ad3fff13;
|
|||
-0c13 : 7083ffff;
|
|||
-0c14 : 06515372;
|
|||
-0c15 : eb3881f9;
|
|||
-0c16 : 3f81f63f;
|
|||
-0c17 : 81f33f83;
|
|||
-0c18 : e0800881;
|
|||
-0c19 : ff06709f;
|
|||
-0c1a : 06545572;
|
|||
-0c1b : 852e0981;
|
|||
-0c1c : 06ffb838;
|
|||
-0c1d : 81db3f83;
|
|||
-0c1e : e0800881;
|
|||
-0c1f : ff065372;
|
|||
-0c20 : 802ef138;
|
|||
-0c21 : 805180d8;
|
|||
-0c22 : 3f800b83;
|
|||
-0c23 : e0800c87;
|
|||
-0c24 : 3d0d04ff;
|
|||
-0c25 : 3d0d83e7;
|
|||
-0c26 : f0081083;
|
|||
-0c27 : e7e80807;
|
|||
-0c28 : 80fda408;
|
|||
-0c29 : 52710c83;
|
|||
-0c2a : 3d0d0480;
|
|||
-0c2b : 0b83e7f0;
|
|||
-0c2c : 0ce13f04;
|
|||
-0c2d : 810b83e7;
|
|||
-0c2e : f00cd83f;
|
|||
-0c2f : 04ed3f04;
|
|||
-0c30 : 7183e7ec;
|
|||
-0c31 : 0c04803d;
|
|||
-0c32 : 0d8051f4;
|
|||
-0c33 : 3f810b83;
|
|||
-0c34 : e7f00c81;
|
|||
-0c35 : 0b83e7e8;
|
|||
-0c36 : 0cffb83f;
|
|||
-0c37 : 823d0d04;
|
|||
-0c38 : 803d0d72;
|
|||
-0c39 : 30707407;
|
|||
-0c3a : 802583e7;
|
|||
-0c3b : e80c51ff;
|
|||
-0c3c : a23f823d;
|
|||
-0c3d : 0d04fd3d;
|
|||
-0c3e : 0d029705;
|
|||
-0c3f : 3380fda8;
|
|||
-0c40 : 0855740c;
|
|||
-0c41 : 80fda408;
|
|||
-0c42 : 53720870;
|
|||
-0c43 : 81065152;
|
|||
-0c44 : 71f73873;
|
|||
-0c45 : 087081ff;
|
|||
-0c46 : 06515283;
|
|||
-0c47 : e7ec088a;
|
|||
-0c48 : 387183e0;
|
|||
-0c49 : 800c853d;
|
|||
-0c4a : 0d047184;
|
|||
-0c4b : 2a5185fa;
|
|||
-0c4c : 3f83e080;
|
|||
-0c4d : 08518785;
|
|||
-0c4e : 3f718f06;
|
|||
-0c4f : 5185eb3f;
|
|||
-0c50 : 83e08008;
|
|||
-0c51 : 5186f63f;
|
|||
-0c52 : 7183e080;
|
|||
-0c53 : 0c853d0d;
|
|||
-0c54 : 04803d0d;
|
|||
-0c55 : 81ff51ff;
|
|||
-0c56 : 9d3f83e0;
|
|||
-0c57 : 800881ff;
|
|||
-0c58 : 0683e080;
|
|||
-0c59 : 0c823d0d;
|
|||
-0c5a : 04fe3d0d;
|
|||
-0c5b : 80fce808;
|
|||
-0c5c : 70337081;
|
|||
-0c5d : ff067084;
|
|||
-0c5e : 2a813281;
|
|||
-0c5f : 06555152;
|
|||
-0c60 : 5371802e;
|
|||
-0c61 : 8c38a873;
|
|||
-0c62 : 3480fce8;
|
|||
-0c63 : 0851b871;
|
|||
-0c64 : 347183e0;
|
|||
-0c65 : 800c843d;
|
|||
-0c66 : 0d04fe3d;
|
|||
-0c67 : 0d80fce8;
|
|||
-0c68 : 08703370;
|
|||
-0c69 : 81ff0670;
|
|||
-0c6a : 852a8132;
|
|||
-0c6b : 81065551;
|
|||
-0c6c : 52537180;
|
|||
-0c6d : 2e8c3898;
|
|||
-0c6e : 733480fc;
|
|||
-0c6f : e80851b8;
|
|||
-0c70 : 71347183;
|
|||
-0c71 : e0800c84;
|
|||
-0c72 : 3d0d0480;
|
|||
-0c73 : 3d0d80fc;
|
|||
-0c74 : e4085193;
|
|||
-0c75 : 713480fc;
|
|||
-0c76 : f00851ff;
|
|||
-0c77 : 7134823d;
|
|||
-0c78 : 0d04fe3d;
|
|||
-0c79 : 0d029305;
|
|||
-0c7a : 3380fce4;
|
|||
-0c7b : 08535380;
|
|||
-0c7c : 72348a51;
|
|||
-0c7d : ffa5bd3f;
|
|||
-0c7e : d23f80fc;
|
|||
-0c7f : f4085280;
|
|||
-0c80 : f8723480;
|
|||
-0c81 : fd8c0852;
|
|||
-0c82 : 807234fa;
|
|||
-0c83 : 1380fd94;
|
|||
-0c84 : 08535372;
|
|||
-0c85 : 723480fc;
|
|||
-0c86 : fc085280;
|
|||
-0c87 : 723480fd;
|
|||
-0c88 : 84085272;
|
|||
-0c89 : 723480fc;
|
|||
-0c8a : e8085280;
|
|||
-0c8b : 723480fc;
|
|||
-0c8c : e80852b8;
|
|||
-0c8d : 7234843d;
|
|||
-0c8e : 0d04ff3d;
|
|||
-0c8f : 0d028f05;
|
|||
-0c90 : 3380fcec;
|
|||
-0c91 : 08525271;
|
|||
-0c92 : 7134fe9d;
|
|||
-0c93 : 3f83e080;
|
|||
-0c94 : 08802ef6;
|
|||
-0c95 : 38833d0d;
|
|||
-0c96 : 04803d0d;
|
|||
-0c97 : febc3f83;
|
|||
-0c98 : e0800890;
|
|||
-0c99 : 38ffb5f2;
|
|||
-0c9a : 3ffeaf3f;
|
|||
-0c9b : 83e08008;
|
|||
-0c9c : 802ef238;
|
|||
-0c9d : 80fcec08;
|
|||
-0c9e : 70337081;
|
|||
-0c9f : ff0683e0;
|
|||
-0ca0 : 800c5151;
|
|||
-0ca1 : 823d0d04;
|
|||
-0ca2 : 803d0d80;
|
|||
-0ca3 : fce40851;
|
|||
-0ca4 : a3713480;
|
|||
-0ca5 : fcf00851;
|
|||
-0ca6 : ff713480;
|
|||
-0ca7 : fce80851;
|
|||
-0ca8 : a8713480;
|
|||
-0ca9 : fce80851;
|
|||
-0caa : b8713482;
|
|||
-0cab : 3d0d0480;
|
|||
-0cac : 3d0d80fc;
|
|||
-0cad : e4087033;
|
|||
-0cae : 7081c006;
|
|||
-0caf : 70307080;
|
|||
-0cb0 : 2583e080;
|
|||
-0cb1 : 0c515151;
|
|||
-0cb2 : 51823d0d;
|
|||
-0cb3 : 04ff3d0d;
|
|||
-0cb4 : 80fce808;
|
|||
-0cb5 : 52713370;
|
|||
-0cb6 : 81ff0670;
|
|||
-0cb7 : 832a8132;
|
|||
-0cb8 : 70810651;
|
|||
-0cb9 : 51515170;
|
|||
-0cba : 802eea38;
|
|||
-0cbb : b0723480;
|
|||
-0cbc : fce80851;
|
|||
-0cbd : b8713483;
|
|||
-0cbe : 3d0d0480;
|
|||
-0cbf : 3d0d80fd;
|
|||
-0cc0 : b0087371;
|
|||
-0cc1 : 0c51823d;
|
|||
-0cc2 : 0d04803d;
|
|||
-0cc3 : 0d80fdac;
|
|||
-0cc4 : 0873710c;
|
|||
-0cc5 : 51823d0d;
|
|||
-0cc6 : 04803d0d;
|
|||
-0cc7 : 80fd9808;
|
|||
-0cc8 : 73710c51;
|
|||
-0cc9 : 823d0d04;
|
|||
-0cca : 803d0d80;
|
|||
-0ccb : fd980851;
|
|||
-0ccc : 80710c82;
|
|||
-0ccd : 3d0d0480;
|
|||
-0cce : 0b83e7f4;
|
|||
-0ccf : 0c800b83;
|
|||
-0cd0 : e8800c04;
|
|||
-0cd1 : 7183e7f4;
|
|||
-0cd2 : 0c047183;
|
|||
-0cd3 : e8800c04;
|
|||
-0cd4 : 800b83e7;
|
|||
-0cd5 : f40c800b;
|
|||
-0cd6 : 83e8800c;
|
|||
-0cd7 : 7183e7fc;
|
|||
-0cd8 : 0c04ff3d;
|
|||
-0cd9 : 0d750284;
|
|||
-0cda : 058f0533;
|
|||
-0cdb : 535183e7;
|
|||
-0cdc : fc08802e;
|
|||
-0cdd : 9a387010;
|
|||
-0cde : 10117010;
|
|||
-0cdf : 10101686;
|
|||
-0ce0 : b8c01183;
|
|||
-0ce1 : e7f80815;
|
|||
-0ce2 : 55515151;
|
|||
-0ce3 : 71713483;
|
|||
-0ce4 : 3d0d04fd;
|
|||
-0ce5 : 3d0d800b;
|
|||
-0ce6 : 83e7f40c;
|
|||
-0ce7 : 83e88008;
|
|||
-0ce8 : 81055473;
|
|||
-0ce9 : 982ea338;
|
|||
-0cea : 7383e880;
|
|||
-0ceb : 0c805483;
|
|||
-0cec : e8800853;
|
|||
-0ced : 73528051;
|
|||
-0cee : ffa83f81;
|
|||
-0cef : 145473a8;
|
|||
-0cf0 : 2e098106;
|
|||
-0cf1 : ea38853d;
|
|||
-0cf2 : 0d04800b;
|
|||
-0cf3 : 83e8800c;
|
|||
-0cf4 : 8054dc39;
|
|||
-0cf5 : fe3d0d74;
|
|||
-0cf6 : 52805380;
|
|||
-0cf7 : ff722588;
|
|||
-0cf8 : 38810bff;
|
|||
-0cf9 : 80135353;
|
|||
-0cfa : ffbf1251;
|
|||
-0cfb : 997127a7;
|
|||
-0cfc : 38ff9f12;
|
|||
-0cfd : 51709926;
|
|||
-0cfe : 96387280;
|
|||
-0cff : 2e853881;
|
|||
-0d00 : 80125271;
|
|||
-0d01 : 81ff0683;
|
|||
-0d02 : e0800c84;
|
|||
-0d03 : 3d0d04d0;
|
|||
-0d04 : 12517089;
|
|||
-0d05 : 268638e0;
|
|||
-0d06 : 1252df39;
|
|||
-0d07 : e0127053;
|
|||
-0d08 : 518f7127;
|
|||
-0d09 : d5388052;
|
|||
-0d0a : d139ff3d;
|
|||
-0d0b : 0d735271;
|
|||
-0d0c : 89269038;
|
|||
-0d0d : 90125271;
|
|||
-0d0e : 81ff0683;
|
|||
-0d0f : e0800c83;
|
|||
-0d10 : 3d0d04f6;
|
|||
-0d11 : 12517085;
|
|||
-0d12 : 26ed3897;
|
|||
-0d13 : 127081ff;
|
|||
-0d14 : 0683e080;
|
|||
-0d15 : 0c52833d;
|
|||
-0d16 : 0d047183;
|
|||
-0d17 : e7f80c04;
|
|||
-0d18 : fc3d0d76;
|
|||
-0d19 : 55747081;
|
|||
-0d1a : 05563354;
|
|||
-0d1b : 73802e80;
|
|||
-0d1c : c238738a;
|
|||
-0d1d : 2eb03873;
|
|||
-0d1e : 51fed93f;
|
|||
-0d1f : 83e88008;
|
|||
-0d20 : 5383e7f4;
|
|||
-0d21 : 085283e0;
|
|||
-0d22 : 800881ff;
|
|||
-0d23 : 0651fdd2;
|
|||
-0d24 : 3f83e7f4;
|
|||
-0d25 : 08810583;
|
|||
-0d26 : e7f40c83;
|
|||
-0d27 : e7f408a8;
|
|||
-0d28 : 2e098106;
|
|||
-0d29 : c038fdeb;
|
|||
-0d2a : 3f747081;
|
|||
-0d2b : 05563354;
|
|||
-0d2c : 73c03880;
|
|||
-0d2d : 0b83e7f8;
|
|||
-0d2e : 0c863d0d;
|
|||
-0d2f : 04fd3d0d;
|
|||
-0d30 : 02970533;
|
|||
-0d31 : 83e88008;
|
|||
-0d32 : 5483e7f4;
|
|||
-0d33 : 085351fd;
|
|||
-0d34 : 913f83e7;
|
|||
-0d35 : f4088105;
|
|||
-0d36 : 83e7f40c;
|
|||
-0d37 : 83e7f408;
|
|||
-0d38 : a82e8538;
|
|||
-0d39 : 853d0d04;
|
|||
-0d3a : fda93f85;
|
|||
-0d3b : 3d0d04fe;
|
|||
-0d3c : 3d0d0292;
|
|||
-0d3d : 0522708c;
|
|||
-0d3e : 2a5252fe;
|
|||
-0d3f : ad3f83e0;
|
|||
-0d40 : 800881ff;
|
|||
-0d41 : 0651ffb5;
|
|||
-0d42 : 3f719e80;
|
|||
-0d43 : 0670882a;
|
|||
-0d44 : 5253fe96;
|
|||
-0d45 : 3f83e080;
|
|||
-0d46 : 0881ff06;
|
|||
-0d47 : 51ff9e3f;
|
|||
-0d48 : 7181f006;
|
|||
-0d49 : 70842a52;
|
|||
-0d4a : 53fdff3f;
|
|||
-0d4b : 83e08008;
|
|||
-0d4c : 81ff0651;
|
|||
-0d4d : ff873f71;
|
|||
-0d4e : 8f0651fd;
|
|||
-0d4f : ed3f83e0;
|
|||
-0d50 : 800881ff;
|
|||
-0d51 : 0651fef5;
|
|||
-0d52 : 3f800b83;
|
|||
-0d53 : e7f80c84;
|
|||
-0d54 : 3d0d04fb;
|
|||
-0d55 : 3d0d7779;
|
|||
-0d56 : 5656fcb7;
|
|||
-0d57 : 3f807525;
|
|||
-0d58 : 80fb3875;
|
|||
-0d59 : 70810557;
|
|||
-0d5a : 33705254;
|
|||
-0d5b : fce63f83;
|
|||
-0d5c : e8800853;
|
|||
-0d5d : 83e7f408;
|
|||
-0d5e : 5283e080;
|
|||
-0d5f : 0881ff06;
|
|||
-0d60 : 51fbdf3f;
|
|||
-0d61 : 73842a51;
|
|||
-0d62 : fda03f83;
|
|||
-0d63 : e8800853;
|
|||
-0d64 : 83e7f408;
|
|||
-0d65 : 10940552;
|
|||
-0d66 : 83e08008;
|
|||
-0d67 : 81ff0651;
|
|||
-0d68 : fbc03f73;
|
|||
-0d69 : 8f0651fd;
|
|||
-0d6a : 813f83e8;
|
|||
-0d6b : 80085383;
|
|||
-0d6c : e7f40810;
|
|||
-0d6d : 95055283;
|
|||
-0d6e : e0800881;
|
|||
-0d6f : ff0651fb;
|
|||
-0d70 : a13f83e7;
|
|||
-0d71 : f4088105;
|
|||
-0d72 : 83e7f40c;
|
|||
-0d73 : 83e7f408;
|
|||
-0d74 : 8a2e9138;
|
|||
-0d75 : ff155574;
|
|||
-0d76 : 8024ff87;
|
|||
-0d77 : 38fbb43f;
|
|||
-0d78 : 873d0d04;
|
|||
-0d79 : fbad3fff;
|
|||
-0d7a : 1555ec39;
|
|||
-0d7b : fb3d0d77;
|
|||
-0d7c : 7983e7f4;
|
|||
-0d7d : 0883fffe;
|
|||
-0d7e : 0683e7f4;
|
|||
-0d7f : 0c565680;
|
|||
-0d80 : 752580e5;
|
|||
-0d81 : 38757081;
|
|||
-0d82 : 05573370;
|
|||
-0d83 : 842a5254;
|
|||
-0d84 : fc983f83;
|
|||
-0d85 : e8800853;
|
|||
-0d86 : 83e7f408;
|
|||
-0d87 : 5283e7f4;
|
|||
-0d88 : 08810583;
|
|||
-0d89 : e7f40c83;
|
|||
-0d8a : e0800881;
|
|||
-0d8b : ff0651fa;
|
|||
-0d8c : b13f738f;
|
|||
-0d8d : 0651fbf2;
|
|||
-0d8e : 3f83e880;
|
|||
-0d8f : 085383e7;
|
|||
-0d90 : f4085283;
|
|||
-0d91 : e7f40881;
|
|||
-0d92 : 0583e7f4;
|
|||
-0d93 : 0c83e080;
|
|||
-0d94 : 0881ff06;
|
|||
-0d95 : 51fa8b3f;
|
|||
-0d96 : 83e7f408;
|
|||
-0d97 : a82e8e38;
|
|||
-0d98 : ff155574;
|
|||
-0d99 : 8024ff9d;
|
|||
-0d9a : 38873d0d;
|
|||
-0d9b : 04faa43f;
|
|||
-0d9c : ff1555ef;
|
|||
-0d9d : 3983e08c;
|
|||
-0d9e : 080283e0;
|
|||
-0d9f : 8c0cfd3d;
|
|||
-0da0 : 0d805383;
|
|||
-0da1 : e08c088c;
|
|||
-0da2 : 05085283;
|
|||
-0da3 : e08c0888;
|
|||
-0da4 : 05085183;
|
|||
-0da5 : d43f83e0;
|
|||
-0da6 : 80087083;
|
|||
-0da7 : e0800c54;
|
|||
-0da8 : 853d0d83;
|
|||
-0da9 : e08c0c04;
|
|||
-0daa : 83e08c08;
|
|||
-0dab : 0283e08c;
|
|||
-0dac : 0cfd3d0d;
|
|||
-0dad : 815383e0;
|
|||
-0dae : 8c088c05;
|
|||
-0daf : 085283e0;
|
|||
-0db0 : 8c088805;
|
|||
-0db1 : 085183a1;
|
|||
-0db2 : 3f83e080;
|
|||
-0db3 : 087083e0;
|
|||
-0db4 : 800c5485;
|
|||
-0db5 : 3d0d83e0;
|
|||
-0db6 : 8c0c0483;
|
|||
-0db7 : e08c0802;
|
|||
-0db8 : 83e08c0c;
|
|||
-0db9 : f93d0d80;
|
|||
-0dba : 0b83e08c;
|
|||
-0dbb : 08fc050c;
|
|||
-0dbc : 83e08c08;
|
|||
-0dbd : 88050880;
|
|||
-0dbe : 25b93883;
|
|||
-0dbf : e08c0888;
|
|||
-0dc0 : 05083083;
|
|||
-0dc1 : e08c0888;
|
|||
-0dc2 : 050c800b;
|
|||
-0dc3 : 83e08c08;
|
|||
-0dc4 : f4050c83;
|
|||
-0dc5 : e08c08fc;
|
|||
-0dc6 : 05088a38;
|
|||
-0dc7 : 810b83e0;
|
|||
-0dc8 : 8c08f405;
|
|||
-0dc9 : 0c83e08c;
|
|||
-0dca : 08f40508;
|
|||
-0dcb : 83e08c08;
|
|||
-0dcc : fc050c83;
|
|||
-0dcd : e08c088c;
|
|||
-0dce : 05088025;
|
|||
-0dcf : b93883e0;
|
|||
-0dd0 : 8c088c05;
|
|||
-0dd1 : 083083e0;
|
|||
-0dd2 : 8c088c05;
|
|||
-0dd3 : 0c800b83;
|
|||
-0dd4 : e08c08f0;
|
|||
-0dd5 : 050c83e0;
|
|||
-0dd6 : 8c08fc05;
|
|||
-0dd7 : 088a3881;
|
|||
-0dd8 : 0b83e08c;
|
|||
-0dd9 : 08f0050c;
|
|||
-0dda : 83e08c08;
|
|||
-0ddb : f0050883;
|
|||
-0ddc : e08c08fc;
|
|||
-0ddd : 050c8053;
|
|||
-0dde : 83e08c08;
|
|||
-0ddf : 8c050852;
|
|||
-0de0 : 83e08c08;
|
|||
-0de1 : 88050851;
|
|||
-0de2 : 81df3f83;
|
|||
-0de3 : e0800870;
|
|||
-0de4 : 83e08c08;
|
|||
-0de5 : f8050c54;
|
|||
+0bf9 : ff065372;
|
|||
+0bfa : 812e0981;
|
|||
+0bfb : 06a13880;
|
|||
+0bfc : 52a951ff;
|
|||
+0bfd : 863f83e0;
|
|||
+0bfe : 800881ff;
|
|||
+0bff : 06537280;
|
|||
+0c00 : 2e8d38ff;
|
|||
+0c01 : 147083ff;
|
|||
+0c02 : ff065553;
|
|||
+0c03 : 73ca3880;
|
|||
+0c04 : 528151fe;
|
|||
+0c05 : e63f83e0;
|
|||
+0c06 : 800881ff;
|
|||
+0c07 : 065381ff;
|
|||
+0c08 : 54729238;
|
|||
+0c09 : 7252bb51;
|
|||
+0c0a : fed13f84;
|
|||
+0c0b : 80529051;
|
|||
+0c0c : fec93f72;
|
|||
+0c0d : 547383e0;
|
|||
+0c0e : 800c853d;
|
|||
+0c0f : 0d04fb3d;
|
|||
+0c10 : 0d83e3e8;
|
|||
+0c11 : 56815181;
|
|||
+0c12 : ce3f7789;
|
|||
+0c13 : 2b529851;
|
|||
+0c14 : fcd53f83;
|
|||
+0c15 : e0800881;
|
|||
+0c16 : ff067056;
|
|||
+0c17 : 5473802e;
|
|||
+0c18 : 8a387483;
|
|||
+0c19 : e0800c87;
|
|||
+0c1a : 3d0d0482;
|
|||
+0c1b : 9b3f81fe;
|
|||
+0c1c : 5181ba3f;
|
|||
+0c1d : 84805375;
|
|||
+0c1e : 70810557;
|
|||
+0c1f : 335181ad;
|
|||
+0c20 : 3fff1370;
|
|||
+0c21 : 83ffff06;
|
|||
+0c22 : 515372eb;
|
|||
+0c23 : 3881f93f;
|
|||
+0c24 : 81f63f81;
|
|||
+0c25 : f33f83e0;
|
|||
+0c26 : 800881ff;
|
|||
+0c27 : 06709f06;
|
|||
+0c28 : 54557285;
|
|||
+0c29 : 2e098106;
|
|||
+0c2a : ffb83881;
|
|||
+0c2b : db3f83e0;
|
|||
+0c2c : 800881ff;
|
|||
+0c2d : 06537280;
|
|||
+0c2e : 2ef13880;
|
|||
+0c2f : 5180d83f;
|
|||
+0c30 : 800b83e0;
|
|||
+0c31 : 800c873d;
|
|||
+0c32 : 0d04ff3d;
|
|||
+0c33 : 0d83e7f0;
|
|||
+0c34 : 081083e7;
|
|||
+0c35 : e8080780;
|
|||
+0c36 : fde40852;
|
|||
+0c37 : 710c833d;
|
|||
+0c38 : 0d04800b;
|
|||
+0c39 : 83e7f00c;
|
|||
+0c3a : e13f0481;
|
|||
+0c3b : 0b83e7f0;
|
|||
+0c3c : 0cd83f04;
|
|||
+0c3d : ed3f0471;
|
|||
+0c3e : 83e7ec0c;
|
|||
+0c3f : 04803d0d;
|
|||
+0c40 : 8051f43f;
|
|||
+0c41 : 810b83e7;
|
|||
+0c42 : f00c810b;
|
|||
+0c43 : 83e7e80c;
|
|||
+0c44 : ffb83f82;
|
|||
+0c45 : 3d0d0480;
|
|||
+0c46 : 3d0d7230;
|
|||
+0c47 : 70740780;
|
|||
+0c48 : 2583e7e8;
|
|||
+0c49 : 0c51ffa2;
|
|||
+0c4a : 3f823d0d;
|
|||
+0c4b : 04fd3d0d;
|
|||
+0c4c : 02970533;
|
|||
+0c4d : 80fde808;
|
|||
+0c4e : 55740c80;
|
|||
+0c4f : fde40853;
|
|||
+0c50 : 72087081;
|
|||
+0c51 : 06515271;
|
|||
+0c52 : f7387308;
|
|||
+0c53 : 7081ff06;
|
|||
+0c54 : 515283e7;
|
|||
+0c55 : ec088a38;
|
|||
+0c56 : 7183e080;
|
|||
+0c57 : 0c853d0d;
|
|||
+0c58 : 0471842a;
|
|||
+0c59 : 5185fa3f;
|
|||
+0c5a : 83e08008;
|
|||
+0c5b : 5187853f;
|
|||
+0c5c : 718f0651;
|
|||
+0c5d : 85eb3f83;
|
|||
+0c5e : e0800851;
|
|||
+0c5f : 86f63f71;
|
|||
+0c60 : 83e0800c;
|
|||
+0c61 : 853d0d04;
|
|||
+0c62 : 803d0d81;
|
|||
+0c63 : ff51ff9d;
|
|||
+0c64 : 3f83e080;
|
|||
+0c65 : 0881ff06;
|
|||
+0c66 : 83e0800c;
|
|||
+0c67 : 823d0d04;
|
|||
+0c68 : fe3d0d80;
|
|||
+0c69 : fda80870;
|
|||
+0c6a : 337081ff;
|
|||
+0c6b : 0670842a;
|
|||
+0c6c : 81328106;
|
|||
+0c6d : 55515253;
|
|||
+0c6e : 71802e8c;
|
|||
+0c6f : 38a87334;
|
|||
+0c70 : 80fda808;
|
|||
+0c71 : 51b87134;
|
|||
+0c72 : 7183e080;
|
|||
+0c73 : 0c843d0d;
|
|||
+0c74 : 04fe3d0d;
|
|||
+0c75 : 80fda808;
|
|||
+0c76 : 70337081;
|
|||
+0c77 : ff067085;
|
|||
+0c78 : 2a813281;
|
|||
+0c79 : 06555152;
|
|||
+0c7a : 5371802e;
|
|||
+0c7b : 8c389873;
|
|||
+0c7c : 3480fda8;
|
|||
+0c7d : 0851b871;
|
|||
+0c7e : 347183e0;
|
|||
+0c7f : 800c843d;
|
|||
+0c80 : 0d04803d;
|
|||
+0c81 : 0d80fda4;
|
|||
+0c82 : 08519371;
|
|||
+0c83 : 3480fdb0;
|
|||
+0c84 : 0851ff71;
|
|||
+0c85 : 34823d0d;
|
|||
+0c86 : 04fe3d0d;
|
|||
+0c87 : 02930533;
|
|||
+0c88 : 80fda408;
|
|||
+0c89 : 53538072;
|
|||
+0c8a : 348a51ff;
|
|||
+0c8b : a5863fd2;
|
|||
+0c8c : 3f80fdb4;
|
|||
+0c8d : 085280f8;
|
|||
+0c8e : 723480fd;
|
|||
+0c8f : cc085280;
|
|||
+0c90 : 7234fa13;
|
|||
+0c91 : 80fdd408;
|
|||
+0c92 : 53537272;
|
|||
+0c93 : 3480fdbc;
|
|||
+0c94 : 08528072;
|
|||
+0c95 : 3480fdc4;
|
|||
+0c96 : 08527272;
|
|||
+0c97 : 3480fda8;
|
|||
+0c98 : 08528072;
|
|||
+0c99 : 3480fda8;
|
|||
+0c9a : 0852b872;
|
|||
+0c9b : 34843d0d;
|
|||
+0c9c : 04ff3d0d;
|
|||
+0c9d : 028f0533;
|
|||
+0c9e : 80fdac08;
|
|||
+0c9f : 52527171;
|
|||
+0ca0 : 34fe9d3f;
|
|||
+0ca1 : 83e08008;
|
|||
+0ca2 : 802ef638;
|
|||
+0ca3 : 833d0d04;
|
|||
+0ca4 : 803d0dfe;
|
|||
+0ca5 : bc3f83e0;
|
|||
+0ca6 : 80089038;
|
|||
+0ca7 : ffb5bb3f;
|
|||
+0ca8 : feaf3f83;
|
|||
+0ca9 : e0800880;
|
|||
+0caa : 2ef23880;
|
|||
+0cab : fdac0870;
|
|||
+0cac : 337081ff;
|
|||
+0cad : 0683e080;
|
|||
+0cae : 0c515182;
|
|||
+0caf : 3d0d0480;
|
|||
+0cb0 : 3d0d80fd;
|
|||
+0cb1 : a40851a3;
|
|||
+0cb2 : 713480fd;
|
|||
+0cb3 : b00851ff;
|
|||
+0cb4 : 713480fd;
|
|||
+0cb5 : a80851a8;
|
|||
+0cb6 : 713480fd;
|
|||
+0cb7 : a80851b8;
|
|||
+0cb8 : 7134823d;
|
|||
+0cb9 : 0d04803d;
|
|||
+0cba : 0d80fda4;
|
|||
+0cbb : 08703370;
|
|||
+0cbc : 81c00670;
|
|||
+0cbd : 30708025;
|
|||
+0cbe : 83e0800c;
|
|||
+0cbf : 51515151;
|
|||
+0cc0 : 823d0d04;
|
|||
+0cc1 : ff3d0d80;
|
|||
+0cc2 : fda80852;
|
|||
+0cc3 : 71337081;
|
|||
+0cc4 : ff067083;
|
|||
+0cc5 : 2a813270;
|
|||
+0cc6 : 81065151;
|
|||
+0cc7 : 51517080;
|
|||
+0cc8 : 2eea38b0;
|
|||
+0cc9 : 723480fd;
|
|||
+0cca : a80851b8;
|
|||
+0ccb : 7134833d;
|
|||
+0ccc : 0d04803d;
|
|||
+0ccd : 0d80fdf0;
|
|||
+0cce : 0873710c;
|
|||
+0ccf : 51823d0d;
|
|||
+0cd0 : 04803d0d;
|
|||
+0cd1 : 80fdec08;
|
|||
+0cd2 : 73710c51;
|
|||
+0cd3 : 823d0d04;
|
|||
+0cd4 : 803d0d80;
|
|||
+0cd5 : fdd80873;
|
|||
+0cd6 : 710c5182;
|
|||
+0cd7 : 3d0d0480;
|
|||
+0cd8 : 3d0d80fd;
|
|||
+0cd9 : d8085180;
|
|||
+0cda : 710c823d;
|
|||
+0cdb : 0d04800b;
|
|||
+0cdc : 83e7f40c;
|
|||
+0cdd : 800b83e8;
|
|||
+0cde : 800c0471;
|
|||
+0cdf : 83e7f40c;
|
|||
+0ce0 : 047183e8;
|
|||
+0ce1 : 800c0480;
|
|||
+0ce2 : 0b83e7f4;
|
|||
+0ce3 : 0c800b83;
|
|||
+0ce4 : e8800c71;
|
|||
+0ce5 : 83e7fc0c;
|
|||
+0ce6 : 04ff3d0d;
|
|||
+0ce7 : 75028405;
|
|||
+0ce8 : 8f053353;
|
|||
+0ce9 : 5183e7fc;
|
|||
+0cea : 08802e9a;
|
|||
+0ceb : 38701010;
|
|||
+0cec : 11701010;
|
|||
+0ced : 101686b8;
|
|||
+0cee : c01183e7;
|
|||
+0cef : f8081555;
|
|||
+0cf0 : 51515171;
|
|||
+0cf1 : 7134833d;
|
|||
+0cf2 : 0d04fd3d;
|
|||
+0cf3 : 0d800b83;
|
|||
+0cf4 : e7f40c83;
|
|||
+0cf5 : e8800881;
|
|||
+0cf6 : 05547398;
|
|||
+0cf7 : 2ea33873;
|
|||
+0cf8 : 83e8800c;
|
|||
+0cf9 : 805483e8;
|
|||
+0cfa : 80085373;
|
|||
+0cfb : 528051ff;
|
|||
+0cfc : a83f8114;
|
|||
+0cfd : 5473a82e;
|
|||
+0cfe : 098106ea;
|
|||
+0cff : 38853d0d;
|
|||
+0d00 : 04800b83;
|
|||
+0d01 : e8800c80;
|
|||
+0d02 : 54dc39fe;
|
|||
+0d03 : 3d0d7452;
|
|||
+0d04 : 805380ff;
|
|||
+0d05 : 72258838;
|
|||
+0d06 : 810bff80;
|
|||
+0d07 : 135353ff;
|
|||
+0d08 : bf125199;
|
|||
+0d09 : 7127a738;
|
|||
+0d0a : ff9f1251;
|
|||
+0d0b : 70992696;
|
|||
+0d0c : 3872802e;
|
|||
+0d0d : 85388180;
|
|||
+0d0e : 12527181;
|
|||
+0d0f : ff0683e0;
|
|||
+0d10 : 800c843d;
|
|||
+0d11 : 0d04d012;
|
|||
+0d12 : 51708926;
|
|||
+0d13 : 8638e012;
|
|||
+0d14 : 52df39e0;
|
|||
+0d15 : 12705351;
|
|||
+0d16 : 8f7127d5;
|
|||
+0d17 : 388052d1;
|
|||
+0d18 : 39ff3d0d;
|
|||
+0d19 : 73527189;
|
|||
+0d1a : 26903890;
|
|||
+0d1b : 12527181;
|
|||
+0d1c : ff0683e0;
|
|||
+0d1d : 800c833d;
|
|||
+0d1e : 0d04f612;
|
|||
+0d1f : 51708526;
|
|||
+0d20 : ed389712;
|
|||
+0d21 : 7081ff06;
|
|||
+0d22 : 83e0800c;
|
|||
+0d23 : 52833d0d;
|
|||
+0d24 : 047183e7;
|
|||
+0d25 : f80c04fc;
|
|||
+0d26 : 3d0d7655;
|
|||
+0d27 : 74708105;
|
|||
+0d28 : 56335473;
|
|||
+0d29 : 802e80c2;
|
|||
+0d2a : 38738a2e;
|
|||
+0d2b : b0387351;
|
|||
+0d2c : fed93f83;
|
|||
+0d2d : e8800853;
|
|||
+0d2e : 83e7f408;
|
|||
+0d2f : 5283e080;
|
|||
+0d30 : 0881ff06;
|
|||
+0d31 : 51fdd23f;
|
|||
+0d32 : 83e7f408;
|
|||
+0d33 : 810583e7;
|
|||
+0d34 : f40c83e7;
|
|||
+0d35 : f408a82e;
|
|||
+0d36 : 098106c0;
|
|||
+0d37 : 38fdeb3f;
|
|||
+0d38 : 74708105;
|
|||
+0d39 : 56335473;
|
|||
+0d3a : c038800b;
|
|||
+0d3b : 83e7f80c;
|
|||
+0d3c : 863d0d04;
|
|||
+0d3d : fd3d0d02;
|
|||
+0d3e : 97053383;
|
|||
+0d3f : e8800854;
|
|||
+0d40 : 83e7f408;
|
|||
+0d41 : 5351fd91;
|
|||
+0d42 : 3f83e7f4;
|
|||
+0d43 : 08810583;
|
|||
+0d44 : e7f40c83;
|
|||
+0d45 : e7f408a8;
|
|||
+0d46 : 2e853885;
|
|||
+0d47 : 3d0d04fd;
|
|||
+0d48 : a93f853d;
|
|||
+0d49 : 0d04fe3d;
|
|||
+0d4a : 0d029205;
|
|||
+0d4b : 22708c2a;
|
|||
+0d4c : 5252fead;
|
|||
+0d4d : 3f83e080;
|
|||
+0d4e : 0881ff06;
|
|||
+0d4f : 51ffb53f;
|
|||
+0d50 : 719e8006;
|
|||
+0d51 : 70882a52;
|
|||
+0d52 : 53fe963f;
|
|||
+0d53 : 83e08008;
|
|||
+0d54 : 81ff0651;
|
|||
+0d55 : ff9e3f71;
|
|||
+0d56 : 81f00670;
|
|||
+0d57 : 842a5253;
|
|||
+0d58 : fdff3f83;
|
|||
+0d59 : e0800881;
|
|||
+0d5a : ff0651ff;
|
|||
+0d5b : 873f718f;
|
|||
+0d5c : 0651fded;
|
|||
+0d5d : 3f83e080;
|
|||
+0d5e : 0881ff06;
|
|||
+0d5f : 51fef53f;
|
|||
+0d60 : 800b83e7;
|
|||
+0d61 : f80c843d;
|
|||
+0d62 : 0d04fb3d;
|
|||
+0d63 : 0d777956;
|
|||
+0d64 : 56fcb73f;
|
|||
+0d65 : 80752580;
|
|||
+0d66 : fb387570;
|
|||
+0d67 : 81055733;
|
|||
+0d68 : 705254fc;
|
|||
+0d69 : e63f83e8;
|
|||
+0d6a : 80085383;
|
|||
+0d6b : e7f40852;
|
|||
+0d6c : 83e08008;
|
|||
+0d6d : 81ff0651;
|
|||
+0d6e : fbdf3f73;
|
|||
+0d6f : 842a51fd;
|
|||
+0d70 : a03f83e8;
|
|||
+0d71 : 80085383;
|
|||
+0d72 : e7f40810;
|
|||
+0d73 : 94055283;
|
|||
+0d74 : e0800881;
|
|||
+0d75 : ff0651fb;
|
|||
+0d76 : c03f738f;
|
|||
+0d77 : 0651fd81;
|
|||
+0d78 : 3f83e880;
|
|||
+0d79 : 085383e7;
|
|||
+0d7a : f4081095;
|
|||
+0d7b : 055283e0;
|
|||
+0d7c : 800881ff;
|
|||
+0d7d : 0651fba1;
|
|||
+0d7e : 3f83e7f4;
|
|||
+0d7f : 08810583;
|
|||
+0d80 : e7f40c83;
|
|||
+0d81 : e7f4088a;
|
|||
+0d82 : 2e9138ff;
|
|||
+0d83 : 15557480;
|
|||
+0d84 : 24ff8738;
|
|||
+0d85 : fbb43f87;
|
|||
+0d86 : 3d0d04fb;
|
|||
+0d87 : ad3fff15;
|
|||
+0d88 : 55ec39fb;
|
|||
+0d89 : 3d0d7779;
|
|||
+0d8a : 83e7f408;
|
|||
+0d8b : 83fffe06;
|
|||
+0d8c : 83e7f40c;
|
|||
+0d8d : 56568075;
|
|||
+0d8e : 2580e538;
|
|||
+0d8f : 75708105;
|
|||
+0d90 : 57337084;
|
|||
+0d91 : 2a5254fc;
|
|||
+0d92 : 983f83e8;
|
|||
+0d93 : 80085383;
|
|||
+0d94 : e7f40852;
|
|||
+0d95 : 83e7f408;
|
|||
+0d96 : 810583e7;
|
|||
+0d97 : f40c83e0;
|
|||
+0d98 : 800881ff;
|
|||
+0d99 : 0651fab1;
|
|||
+0d9a : 3f738f06;
|
|||
+0d9b : 51fbf23f;
|
|||
+0d9c : 83e88008;
|
|||
+0d9d : 5383e7f4;
|
|||
+0d9e : 085283e7;
|
|||
+0d9f : f4088105;
|
|||
+0da0 : 83e7f40c;
|
|||
+0da1 : 83e08008;
|
|||
+0da2 : 81ff0651;
|
|||
+0da3 : fa8b3f83;
|
|||
+0da4 : e7f408a8;
|
|||
+0da5 : 2e8e38ff;
|
|||
+0da6 : 15557480;
|
|||
+0da7 : 24ff9d38;
|
|||
+0da8 : 873d0d04;
|
|||
+0da9 : faa43fff;
|
|||
+0daa : 1555ef39;
|
|||
+0dab : 83e08c08;
|
|||
+0dac : 0283e08c;
|
|||
+0dad : 0cfd3d0d;
|
|||
+0dae : 805383e0;
|
|||
+0daf : 8c088c05;
|
|||
+0db0 : 085283e0;
|
|||
+0db1 : 8c088805;
|
|||
+0db2 : 085183d4;
|
|||
+0db3 : 3f83e080;
|
|||
+0db4 : 087083e0;
|
|||
+0db5 : 800c5485;
|
|||
+0db6 : 3d0d83e0;
|
|||
+0db7 : 8c0c0483;
|
|||
+0db8 : e08c0802;
|
|||
+0db9 : 83e08c0c;
|
|||
+0dba : fd3d0d81;
|
|||
+0dbb : 5383e08c;
|
|||
+0dbc : 088c0508;
|
|||
+0dbd : 5283e08c;
|
|||
+0dbe : 08880508;
|
|||
+0dbf : 5183a13f;
|
|||
+0dc0 : 83e08008;
|
|||
+0dc1 : 7083e080;
|
|||
+0dc2 : 0c54853d;
|
|||
+0dc3 : 0d83e08c;
|
|||
+0dc4 : 0c0483e0;
|
|||
+0dc5 : 8c080283;
|
|||
+0dc6 : e08c0cf9;
|
|||
+0dc7 : 3d0d800b;
|
|||
+0dc8 : 83e08c08;
|
|||
+0dc9 : fc050c83;
|
|||
+0dca : e08c0888;
|
|||
+0dcb : 05088025;
|
|||
+0dcc : b93883e0;
|
|||
+0dcd : 8c088805;
|
|||
+0dce : 083083e0;
|
|||
+0dcf : 8c088805;
|
|||
+0dd0 : 0c800b83;
|
|||
+0dd1 : e08c08f4;
|
|||
+0dd2 : 050c83e0;
|
|||
+0dd3 : 8c08fc05;
|
|||
+0dd4 : 088a3881;
|
|||
+0dd5 : 0b83e08c;
|
|||
+0dd6 : 08f4050c;
|
|||
+0dd7 : 83e08c08;
|
|||
+0dd8 : f4050883;
|
|||
+0dd9 : e08c08fc;
|
|||
+0dda : 050c83e0;
|
|||
+0ddb : 8c088c05;
|
|||
+0ddc : 088025b9;
|
|||
+0ddd : 3883e08c;
|
|||
+0dde : 088c0508;
|
|||
+0ddf : 3083e08c;
|
|||
+0de0 : 088c050c;
|
|||
+0de1 : 800b83e0;
|
|||
+0de2 : 8c08f005;
|
|||
+0de3 : 0c83e08c;
|
|||
+0de4 : 08fc0508;
|
|||
+0de5 : 8a38810b;
|
|||
0de6 : 83e08c08;
|
|||
-0de7 : fc050880;
|
|||
-0de8 : 2e903883;
|
|||
-0de9 : e08c08f8;
|
|||
-0dea : 05083083;
|
|||
-0deb : e08c08f8;
|
|||
-0dec : 050c83e0;
|
|||
-0ded : 8c08f805;
|
|||
-0dee : 087083e0;
|
|||
-0def : 800c5489;
|
|||
-0df0 : 3d0d83e0;
|
|||
-0df1 : 8c0c0483;
|
|||
-0df2 : e08c0802;
|
|||
-0df3 : 83e08c0c;
|
|||
-0df4 : fb3d0d80;
|
|||
-0df5 : 0b83e08c;
|
|||
-0df6 : 08fc050c;
|
|||
-0df7 : 83e08c08;
|
|||
-0df8 : 88050880;
|
|||
-0df9 : 25993883;
|
|||
-0dfa : e08c0888;
|
|||
-0dfb : 05083083;
|
|||
-0dfc : e08c0888;
|
|||
-0dfd : 050c810b;
|
|||
-0dfe : 83e08c08;
|
|||
-0dff : fc050c83;
|
|||
-0e00 : e08c088c;
|
|||
-0e01 : 05088025;
|
|||
-0e02 : 903883e0;
|
|||
-0e03 : 8c088c05;
|
|||
-0e04 : 083083e0;
|
|||
-0e05 : 8c088c05;
|
|||
-0e06 : 0c815383;
|
|||
-0e07 : e08c088c;
|
|||
-0e08 : 05085283;
|
|||
-0e09 : e08c0888;
|
|||
-0e0a : 050851bd;
|
|||
-0e0b : 3f83e080;
|
|||
-0e0c : 087083e0;
|
|||
-0e0d : 8c08f805;
|
|||
-0e0e : 0c5483e0;
|
|||
-0e0f : 8c08fc05;
|
|||
-0e10 : 08802e90;
|
|||
-0e11 : 3883e08c;
|
|||
-0e12 : 08f80508;
|
|||
-0e13 : 3083e08c;
|
|||
-0e14 : 08f8050c;
|
|||
-0e15 : 83e08c08;
|
|||
-0e16 : f8050870;
|
|||
-0e17 : 83e0800c;
|
|||
-0e18 : 54873d0d;
|
|||
-0e19 : 83e08c0c;
|
|||
-0e1a : 0483e08c;
|
|||
-0e1b : 080283e0;
|
|||
-0e1c : 8c0cfd3d;
|
|||
-0e1d : 0d810b83;
|
|||
-0e1e : e08c08fc;
|
|||
-0e1f : 050c800b;
|
|||
-0e20 : 83e08c08;
|
|||
-0e21 : f8050c83;
|
|||
-0e22 : e08c088c;
|
|||
-0e23 : 050883e0;
|
|||
-0e24 : 8c088805;
|
|||
-0e25 : 0827b938;
|
|||
-0e26 : 83e08c08;
|
|||
-0e27 : fc050880;
|
|||
-0e28 : 2eae3880;
|
|||
-0e29 : 0b83e08c;
|
|||
-0e2a : 088c0508;
|
|||
-0e2b : 24a23883;
|
|||
-0e2c : e08c088c;
|
|||
-0e2d : 05081083;
|
|||
-0e2e : e08c088c;
|
|||
+0de7 : f0050c83;
|
|||
+0de8 : e08c08f0;
|
|||
+0de9 : 050883e0;
|
|||
+0dea : 8c08fc05;
|
|||
+0deb : 0c805383;
|
|||
+0dec : e08c088c;
|
|||
+0ded : 05085283;
|
|||
+0dee : e08c0888;
|
|||
+0def : 05085181;
|
|||
+0df0 : df3f83e0;
|
|||
+0df1 : 80087083;
|
|||
+0df2 : e08c08f8;
|
|||
+0df3 : 050c5483;
|
|||
+0df4 : e08c08fc;
|
|||
+0df5 : 0508802e;
|
|||
+0df6 : 903883e0;
|
|||
+0df7 : 8c08f805;
|
|||
+0df8 : 083083e0;
|
|||
+0df9 : 8c08f805;
|
|||
+0dfa : 0c83e08c;
|
|||
+0dfb : 08f80508;
|
|||
+0dfc : 7083e080;
|
|||
+0dfd : 0c54893d;
|
|||
+0dfe : 0d83e08c;
|
|||
+0dff : 0c0483e0;
|
|||
+0e00 : 8c080283;
|
|||
+0e01 : e08c0cfb;
|
|||
+0e02 : 3d0d800b;
|
|||
+0e03 : 83e08c08;
|
|||
+0e04 : fc050c83;
|
|||
+0e05 : e08c0888;
|
|||
+0e06 : 05088025;
|
|||
+0e07 : 993883e0;
|
|||
+0e08 : 8c088805;
|
|||
+0e09 : 083083e0;
|
|||
+0e0a : 8c088805;
|
|||
+0e0b : 0c810b83;
|
|||
+0e0c : e08c08fc;
|
|||
+0e0d : 050c83e0;
|
|||
+0e0e : 8c088c05;
|
|||
+0e0f : 08802590;
|
|||
+0e10 : 3883e08c;
|
|||
+0e11 : 088c0508;
|
|||
+0e12 : 3083e08c;
|
|||
+0e13 : 088c050c;
|
|||
+0e14 : 815383e0;
|
|||
+0e15 : 8c088c05;
|
|||
+0e16 : 085283e0;
|
|||
+0e17 : 8c088805;
|
|||
+0e18 : 0851bd3f;
|
|||
+0e19 : 83e08008;
|
|||
+0e1a : 7083e08c;
|
|||
+0e1b : 08f8050c;
|
|||
+0e1c : 5483e08c;
|
|||
+0e1d : 08fc0508;
|
|||
+0e1e : 802e9038;
|
|||
+0e1f : 83e08c08;
|
|||
+0e20 : f8050830;
|
|||
+0e21 : 83e08c08;
|
|||
+0e22 : f8050c83;
|
|||
+0e23 : e08c08f8;
|
|||
+0e24 : 05087083;
|
|||
+0e25 : e0800c54;
|
|||
+0e26 : 873d0d83;
|
|||
+0e27 : e08c0c04;
|
|||
+0e28 : 83e08c08;
|
|||
+0e29 : 0283e08c;
|
|||
+0e2a : 0cfd3d0d;
|
|||
+0e2b : 810b83e0;
|
|||
+0e2c : 8c08fc05;
|
|||
+0e2d : 0c800b83;
|
|||
+0e2e : e08c08f8;
|
|||
0e2f : 050c83e0;
|
|||
-0e30 : 8c08fc05;
|
|||
-0e31 : 081083e0;
|
|||
-0e32 : 8c08fc05;
|
|||
-0e33 : 0cffb839;
|
|||
-0e34 : 83e08c08;
|
|||
-0e35 : fc050880;
|
|||
-0e36 : 2e80e138;
|
|||
+0e30 : 8c088c05;
|
|||
+0e31 : 0883e08c;
|
|||
+0e32 : 08880508;
|
|||
+0e33 : 27b93883;
|
|||
+0e34 : e08c08fc;
|
|||
+0e35 : 0508802e;
|
|||
+0e36 : ae38800b;
|
|||
0e37 : 83e08c08;
|
|||
-0e38 : 8c050883;
|
|||
-0e39 : e08c0888;
|
|||
-0e3a : 050826ad;
|
|||
-0e3b : 3883e08c;
|
|||
-0e3c : 08880508;
|
|||
-0e3d : 83e08c08;
|
|||
-0e3e : 8c050831;
|
|||
-0e3f : 83e08c08;
|
|||
-0e40 : 88050c83;
|
|||
-0e41 : e08c08f8;
|
|||
-0e42 : 050883e0;
|
|||
-0e43 : 8c08fc05;
|
|||
-0e44 : 080783e0;
|
|||
-0e45 : 8c08f805;
|
|||
-0e46 : 0c83e08c;
|
|||
-0e47 : 08fc0508;
|
|||
-0e48 : 812a83e0;
|
|||
-0e49 : 8c08fc05;
|
|||
-0e4a : 0c83e08c;
|
|||
-0e4b : 088c0508;
|
|||
-0e4c : 812a83e0;
|
|||
-0e4d : 8c088c05;
|
|||
-0e4e : 0cff9539;
|
|||
-0e4f : 83e08c08;
|
|||
-0e50 : 90050880;
|
|||
-0e51 : 2e933883;
|
|||
-0e52 : e08c0888;
|
|||
-0e53 : 05087083;
|
|||
-0e54 : e08c08f4;
|
|||
-0e55 : 050c5191;
|
|||
-0e56 : 3983e08c;
|
|||
-0e57 : 08f80508;
|
|||
-0e58 : 7083e08c;
|
|||
-0e59 : 08f4050c;
|
|||
-0e5a : 5183e08c;
|
|||
-0e5b : 08f40508;
|
|||
-0e5c : 83e0800c;
|
|||
-0e5d : 853d0d83;
|
|||
-0e5e : e08c0c04;
|
|||
-0e5f : 83e08c08;
|
|||
-0e60 : 0283e08c;
|
|||
-0e61 : 0cff3d0d;
|
|||
-0e62 : 800b83e0;
|
|||
-0e63 : 8c08fc05;
|
|||
-0e64 : 0c83e08c;
|
|||
-0e65 : 08880508;
|
|||
-0e66 : 8106ff11;
|
|||
-0e67 : 70097083;
|
|||
-0e68 : e08c088c;
|
|||
-0e69 : 05080683;
|
|||
-0e6a : e08c08fc;
|
|||
-0e6b : 05081183;
|
|||
-0e6c : e08c08fc;
|
|||
-0e6d : 050c83e0;
|
|||
-0e6e : 8c088805;
|
|||
-0e6f : 08812a83;
|
|||
-0e70 : e08c0888;
|
|||
-0e71 : 050c83e0;
|
|||
-0e72 : 8c088c05;
|
|||
-0e73 : 081083e0;
|
|||
-0e74 : 8c088c05;
|
|||
-0e75 : 0c515151;
|
|||
-0e76 : 5183e08c;
|
|||
-0e77 : 08880508;
|
|||
-0e78 : 802e8438;
|
|||
-0e79 : ffab3983;
|
|||
-0e7a : e08c08fc;
|
|||
-0e7b : 05087083;
|
|||
-0e7c : e0800c51;
|
|||
-0e7d : 833d0d83;
|
|||
-0e7e : e08c0c04;
|
|||
-0e7f : fc3d0d76;
|
|||
-0e80 : 70797b55;
|
|||
-0e81 : 5555558f;
|
|||
-0e82 : 72278c38;
|
|||
-0e83 : 72750783;
|
|||
-0e84 : 06517080;
|
|||
-0e85 : 2ea938ff;
|
|||
-0e86 : 125271ff;
|
|||
-0e87 : 2e983872;
|
|||
-0e88 : 70810554;
|
|||
-0e89 : 33747081;
|
|||
-0e8a : 055634ff;
|
|||
-0e8b : 125271ff;
|
|||
-0e8c : 2e098106;
|
|||
-0e8d : ea387483;
|
|||
-0e8e : e0800c86;
|
|||
-0e8f : 3d0d0474;
|
|||
-0e90 : 51727084;
|
|||
-0e91 : 05540871;
|
|||
-0e92 : 70840553;
|
|||
-0e93 : 0c727084;
|
|||
-0e94 : 05540871;
|
|||
-0e95 : 70840553;
|
|||
-0e96 : 0c727084;
|
|||
-0e97 : 05540871;
|
|||
-0e98 : 70840553;
|
|||
-0e99 : 0c727084;
|
|||
-0e9a : 05540871;
|
|||
-0e9b : 70840553;
|
|||
-0e9c : 0cf01252;
|
|||
-0e9d : 718f26c9;
|
|||
-0e9e : 38837227;
|
|||
-0e9f : 95387270;
|
|||
-0ea0 : 84055408;
|
|||
-0ea1 : 71708405;
|
|||
-0ea2 : 530cfc12;
|
|||
-0ea3 : 52718326;
|
|||
-0ea4 : ed387054;
|
|||
-0ea5 : ff813900;
|
|||
-0ea6 : 00ffffff;
|
|||
-0ea7 : ff00ffff;
|
|||
-0ea8 : ffff00ff;
|
|||
-0ea9 : ffffff00;
|
|||
-0eaa : 70707042;
|
|||
-0eab : 409c0202;
|
|||
-0eac : 02020202;
|
|||
-0ead : 02020202;
|
|||
-0eae : 02020202;
|
|||
-0eaf : 02020202;
|
|||
-0eb0 : 02020202;
|
|||
-0eb1 : 02704100;
|
|||
-0eb2 : 06000000;
|
|||
-0eb3 : 70707042;
|
|||
-0eb4 : 409c0202;
|
|||
-0eb5 : 02020202;
|
|||
-0eb6 : 02020202;
|
|||
-0eb7 : 02020202;
|
|||
-0eb8 : 02020202;
|
|||
-0eb9 : 02020202;
|
|||
-0eba : 02704100;
|
|||
-0ebb : 9c000000;
|
|||
-0ebc : 2f000000;
|
|||
-0ebd : 4f70656e;
|
|||
-0ebe : 696e673a;
|
|||
-0ebf : 00000000;
|
|||
-0ec0 : 6661696c;
|
|||
-0ec1 : 0a000000;
|
|||
-0ec2 : 6f6b0a00;
|
|||
-0ec3 : 436f756c;
|
|||
-0ec4 : 64206e6f;
|
|||
-0ec5 : 74207265;
|
|||
-0ec6 : 61642068;
|
|||
-0ec7 : 65616465;
|
|||
-0ec8 : 720a0000;
|
|||
-0ec9 : 556e6b6e;
|
|||
-0eca : 6f776e20;
|
|||
-0ecb : 66696c65;
|
|||
-0ecc : 20747970;
|
|||
-0ecd : 65000000;
|
|||
-0ece : 41545220;
|
|||
-0ecf : 00000000;
|
|||
-0ed0 : 58442000;
|
|||
-0ed1 : 300a0000;
|
|||
-0ed2 : 58464420;
|
|||
-0ed3 : 00000000;
|
|||
-0ed4 : 42414420;
|
|||
-0ed5 : 73656374;
|
|||
-0ed6 : 6f722073;
|
|||
-0ed7 : 697a6500;
|
|||
-0ed8 : 4d442000;
|
|||
-0ed9 : 58455820;
|
|||
-0eda : 00000000;
|
|||
-0edb : 53442000;
|
|||
-0edc : 44442000;
|
|||
-0edd : 44495220;
|
|||
-0ede : 00000000;
|
|||
-0edf : 6f70656e;
|
|||
-0ee0 : 64697220;
|
|||
-0ee1 : 6661696c;
|
|||
-0ee2 : 65640a00;
|
|||
-0ee3 : 426f6f74;
|
|||
-0ee4 : 696e672e;
|
|||
-0ee5 : 2e2e0000;
|
|||
-0ee6 : 53797374;
|
|||
-0ee7 : 656d2073;
|
|||
-0ee8 : 65747469;
|
|||
-0ee9 : 6e67730a;
|
|||
-0eea : 00000000;
|
|||
-0eeb : 2d2d2d2d;
|
|||
-0eec : 2d2d2d2d;
|
|||
-0eed : 2d2d2d2d;
|
|||
-0eee : 2d2d2d0a;
|
|||
-0eef : 00000000;
|
|||
-0ef0 : 4d656d6f;
|
|||
-0ef1 : 72793a00;
|
|||
-0ef2 : 556e6b6e;
|
|||
-0ef3 : 6f776e00;
|
|||
-0ef4 : 524f4d20;
|
|||
-0ef5 : 62616e6b;
|
|||
-0ef6 : 3a000000;
|
|||
-0ef7 : 53706565;
|
|||
-0ef8 : 643a0000;
|
|||
-0ef9 : 204b487a;
|
|||
-0efa : 0a44313a;
|
|||
-0efb : 00000000;
|
|||
-0efc : 0a44323a;
|
|||
+0e38 : 8c050824;
|
|||
+0e39 : a23883e0;
|
|||
+0e3a : 8c088c05;
|
|||
+0e3b : 081083e0;
|
|||
+0e3c : 8c088c05;
|
|||
+0e3d : 0c83e08c;
|
|||
+0e3e : 08fc0508;
|
|||
+0e3f : 1083e08c;
|
|||
+0e40 : 08fc050c;
|
|||
+0e41 : ffb83983;
|
|||
+0e42 : e08c08fc;
|
|||
+0e43 : 0508802e;
|
|||
+0e44 : 80e13883;
|
|||
+0e45 : e08c088c;
|
|||
+0e46 : 050883e0;
|
|||
+0e47 : 8c088805;
|
|||
+0e48 : 0826ad38;
|
|||
+0e49 : 83e08c08;
|
|||
+0e4a : 88050883;
|
|||
+0e4b : e08c088c;
|
|||
+0e4c : 05083183;
|
|||
+0e4d : e08c0888;
|
|||
+0e4e : 050c83e0;
|
|||
+0e4f : 8c08f805;
|
|||
+0e50 : 0883e08c;
|
|||
+0e51 : 08fc0508;
|
|||
+0e52 : 0783e08c;
|
|||
+0e53 : 08f8050c;
|
|||
+0e54 : 83e08c08;
|
|||
+0e55 : fc050881;
|
|||
+0e56 : 2a83e08c;
|
|||
+0e57 : 08fc050c;
|
|||
+0e58 : 83e08c08;
|
|||
+0e59 : 8c050881;
|
|||
+0e5a : 2a83e08c;
|
|||
+0e5b : 088c050c;
|
|||
+0e5c : ff953983;
|
|||
+0e5d : e08c0890;
|
|||
+0e5e : 0508802e;
|
|||
+0e5f : 933883e0;
|
|||
+0e60 : 8c088805;
|
|||
+0e61 : 087083e0;
|
|||
+0e62 : 8c08f405;
|
|||
+0e63 : 0c519139;
|
|||
+0e64 : 83e08c08;
|
|||
+0e65 : f8050870;
|
|||
+0e66 : 83e08c08;
|
|||
+0e67 : f4050c51;
|
|||
+0e68 : 83e08c08;
|
|||
+0e69 : f4050883;
|
|||
+0e6a : e0800c85;
|
|||
+0e6b : 3d0d83e0;
|
|||
+0e6c : 8c0c0483;
|
|||
+0e6d : e08c0802;
|
|||
+0e6e : 83e08c0c;
|
|||
+0e6f : ff3d0d80;
|
|||
+0e70 : 0b83e08c;
|
|||
+0e71 : 08fc050c;
|
|||
+0e72 : 83e08c08;
|
|||
+0e73 : 88050881;
|
|||
+0e74 : 06ff1170;
|
|||
+0e75 : 097083e0;
|
|||
+0e76 : 8c088c05;
|
|||
+0e77 : 080683e0;
|
|||
+0e78 : 8c08fc05;
|
|||
+0e79 : 081183e0;
|
|||
+0e7a : 8c08fc05;
|
|||
+0e7b : 0c83e08c;
|
|||
+0e7c : 08880508;
|
|||
+0e7d : 812a83e0;
|
|||
+0e7e : 8c088805;
|
|||
+0e7f : 0c83e08c;
|
|||
+0e80 : 088c0508;
|
|||
+0e81 : 1083e08c;
|
|||
+0e82 : 088c050c;
|
|||
+0e83 : 51515151;
|
|||
+0e84 : 83e08c08;
|
|||
+0e85 : 88050880;
|
|||
+0e86 : 2e8438ff;
|
|||
+0e87 : ab3983e0;
|
|||
+0e88 : 8c08fc05;
|
|||
+0e89 : 087083e0;
|
|||
+0e8a : 800c5183;
|
|||
+0e8b : 3d0d83e0;
|
|||
+0e8c : 8c0c04fc;
|
|||
+0e8d : 3d0d7670;
|
|||
+0e8e : 797b5555;
|
|||
+0e8f : 55558f72;
|
|||
+0e90 : 278c3872;
|
|||
+0e91 : 75078306;
|
|||
+0e92 : 5170802e;
|
|||
+0e93 : a938ff12;
|
|||
+0e94 : 5271ff2e;
|
|||
+0e95 : 98387270;
|
|||
+0e96 : 81055433;
|
|||
+0e97 : 74708105;
|
|||
+0e98 : 5634ff12;
|
|||
+0e99 : 5271ff2e;
|
|||
+0e9a : 098106ea;
|
|||
+0e9b : 387483e0;
|
|||
+0e9c : 800c863d;
|
|||
+0e9d : 0d047451;
|
|||
+0e9e : 72708405;
|
|||
+0e9f : 54087170;
|
|||
+0ea0 : 8405530c;
|
|||
+0ea1 : 72708405;
|
|||
+0ea2 : 54087170;
|
|||
+0ea3 : 8405530c;
|
|||
+0ea4 : 72708405;
|
|||
+0ea5 : 54087170;
|
|||
+0ea6 : 8405530c;
|
|||
+0ea7 : 72708405;
|
|||
+0ea8 : 54087170;
|
|||
+0ea9 : 8405530c;
|
|||
+0eaa : f0125271;
|
|||
+0eab : 8f26c938;
|
|||
+0eac : 83722795;
|
|||
+0ead : 38727084;
|
|||
+0eae : 05540871;
|
|||
+0eaf : 70840553;
|
|||
+0eb0 : 0cfc1252;
|
|||
+0eb1 : 718326ed;
|
|||
+0eb2 : 387054ff;
|
|||
+0eb3 : 81390000;
|
|||
+0eb4 : 00ffffff;
|
|||
+0eb5 : ff00ffff;
|
|||
+0eb6 : ffff00ff;
|
|||
+0eb7 : ffffff00;
|
|||
+0eb8 : 70707042;
|
|||
+0eb9 : 409c0202;
|
|||
+0eba : 02020202;
|
|||
+0ebb : 02020202;
|
|||
+0ebc : 02020202;
|
|||
+0ebd : 02020202;
|
|||
+0ebe : 02020202;
|
|||
+0ebf : 02704100;
|
|||
+0ec0 : 06000000;
|
|||
+0ec1 : 70707042;
|
|||
+0ec2 : 409c0202;
|
|||
+0ec3 : 02020202;
|
|||
+0ec4 : 02020202;
|
|||
+0ec5 : 02020202;
|
|||
+0ec6 : 02020202;
|
|||
+0ec7 : 02020202;
|
|||
+0ec8 : 02704100;
|
|||
+0ec9 : 9c000000;
|
|||
+0eca : 2f000000;
|
|||
+0ecb : 4f70656e;
|
|||
+0ecc : 696e673a;
|
|||
+0ecd : 00000000;
|
|||
+0ece : 6661696c;
|
|||
+0ecf : 0a000000;
|
|||
+0ed0 : 6f6b0a00;
|
|||
+0ed1 : 436f756c;
|
|||
+0ed2 : 64206e6f;
|
|||
+0ed3 : 74207265;
|
|||
+0ed4 : 61642068;
|
|||
+0ed5 : 65616465;
|
|||
+0ed6 : 720a0000;
|
|||
+0ed7 : 556e6b6e;
|
|||
+0ed8 : 6f776e20;
|
|||
+0ed9 : 66696c65;
|
|||
+0eda : 20747970;
|
|||
+0edb : 65000000;
|
|||
+0edc : 41545220;
|
|||
+0edd : 00000000;
|
|||
+0ede : 58442000;
|
|||
+0edf : 300a0000;
|
|||
+0ee0 : 58464420;
|
|||
+0ee1 : 00000000;
|
|||
+0ee2 : 42414420;
|
|||
+0ee3 : 73656374;
|
|||
+0ee4 : 6f722073;
|
|||
+0ee5 : 697a6500;
|
|||
+0ee6 : 4d442000;
|
|||
+0ee7 : 58455820;
|
|||
+0ee8 : 00000000;
|
|||
+0ee9 : 53442000;
|
|||
+0eea : 44442000;
|
|||
+0eeb : 44495220;
|
|||
+0eec : 00000000;
|
|||
+0eed : 6f70656e;
|
|||
+0eee : 64697220;
|
|||
+0eef : 6661696c;
|
|||
+0ef0 : 65640a00;
|
|||
+0ef1 : 426f6f74;
|
|||
+0ef2 : 696e672e;
|
|||
+0ef3 : 2e2e0000;
|
|||
+0ef4 : 53797374;
|
|||
+0ef5 : 656d2073;
|
|||
+0ef6 : 65747469;
|
|||
+0ef7 : 6e67730a;
|
|||
+0ef8 : 00000000;
|
|||
+0ef9 : 2d2d2d2d;
|
|||
+0efa : 2d2d2d2d;
|
|||
+0efb : 2d2d2d2d;
|
|||
+0efc : 2d2d2d0a;
|
|||
0efd : 00000000;
|
|||
-0efe : 0a44333a;
|
|||
-0eff : 00000000;
|
|||
-0f00 : 0a44343a;
|
|||
-0f01 : 00000000;
|
|||
-0f02 : 0a526562;
|
|||
-0f03 : 6f6f7400;
|
|||
-0f04 : 0a457869;
|
|||
-0f05 : 74000000;
|
|||
-0f06 : 3332304b;
|
|||
-0f07 : 69422052;
|
|||
-0f08 : 616d626f;
|
|||
+0efe : 4d656d6f;
|
|||
+0eff : 72793a00;
|
|||
+0f00 : 556e6b6e;
|
|||
+0f01 : 6f776e00;
|
|||
+0f02 : 524f4d20;
|
|||
+0f03 : 62616e6b;
|
|||
+0f04 : 3a000000;
|
|||
+0f05 : 53706565;
|
|||
+0f06 : 643a0000;
|
|||
+0f07 : 204b487a;
|
|||
+0f08 : 0a44313a;
|
|||
0f09 : 00000000;
|
|||
-0f0a : 30202864;
|
|||
-0f0b : 65666175;
|
|||
-0f0c : 6c743a58;
|
|||
-0f0d : 4c290000;
|
|||
-0f0e : 31202864;
|
|||
-0f0f : 65666175;
|
|||
-0f10 : 6c743a58;
|
|||
-0f11 : 4c202b20;
|
|||
-0f12 : 68692073;
|
|||
-0f13 : 70656564;
|
|||
-0f14 : 29000000;
|
|||
-0f15 : 3332304b;
|
|||
-0f16 : 69422043;
|
|||
-0f17 : 6f6d7079;
|
|||
-0f18 : 2053686f;
|
|||
-0f19 : 70000000;
|
|||
-0f1a : 36344b69;
|
|||
-0f1b : 42000000;
|
|||
-0f1c : 3132384b;
|
|||
-0f1d : 69420000;
|
|||
-0f1e : 32202864;
|
|||
-0f1f : 65666175;
|
|||
-0f20 : 6c743a55;
|
|||
-0f21 : 6c74696d;
|
|||
-0f22 : 6f6e2900;
|
|||
-0f23 : 33202864;
|
|||
-0f24 : 65666175;
|
|||
-0f25 : 6c743a4f;
|
|||
-0f26 : 53204220;
|
|||
-0f27 : 2b206869;
|
|||
-0f28 : 20737065;
|
|||
-0f29 : 65642900;
|
|||
-0f2a : 636d643a;
|
|||
-0f2b : 00000000;
|
|||
-0f2c : 45525220;
|
|||
-0f2d : 00000000;
|
|||
-0f2e : 53504453;
|
|||
-0f2f : 00000000;
|
|||
-0f30 : 53504446;
|
|||
-0f31 : 00000000;
|
|||
-0f32 : 2873656e;
|
|||
-0f33 : 643a0000;
|
|||
-0f34 : 3a63686b;
|
|||
-0f35 : 3a000000;
|
|||
-0f36 : 73646361;
|
|||
-0f37 : 72640a00;
|
|||
-0f38 : 6469736b;
|
|||
-0f39 : 5f696e69;
|
|||
-0f3a : 743a0000;
|
|||
-0f3b : 6d6f756e;
|
|||
-0f3c : 743a0000;
|
|||
-0f3d : 6f70656e;
|
|||
-0f3e : 6469723a;
|
|||
+0f0a : 0a44323a;
|
|||
+0f0b : 00000000;
|
|||
+0f0c : 0a44333a;
|
|||
+0f0d : 00000000;
|
|||
+0f0e : 0a44343a;
|
|||
+0f0f : 00000000;
|
|||
+0f10 : 0a526562;
|
|||
+0f11 : 6f6f7400;
|
|||
+0f12 : 0a457869;
|
|||
+0f13 : 74000000;
|
|||
+0f14 : 3332304b;
|
|||
+0f15 : 69422052;
|
|||
+0f16 : 616d626f;
|
|||
+0f17 : 00000000;
|
|||
+0f18 : 30202864;
|
|||
+0f19 : 65666175;
|
|||
+0f1a : 6c743a58;
|
|||
+0f1b : 4c290000;
|
|||
+0f1c : 31202864;
|
|||
+0f1d : 65666175;
|
|||
+0f1e : 6c743a58;
|
|||
+0f1f : 4c202b20;
|
|||
+0f20 : 68692073;
|
|||
+0f21 : 70656564;
|
|||
+0f22 : 29000000;
|
|||
+0f23 : 3332304b;
|
|||
+0f24 : 69422043;
|
|||
+0f25 : 6f6d7079;
|
|||
+0f26 : 2053686f;
|
|||
+0f27 : 70000000;
|
|||
+0f28 : 36344b69;
|
|||
+0f29 : 42000000;
|
|||
+0f2a : 3132384b;
|
|||
+0f2b : 69420000;
|
|||
+0f2c : 32202864;
|
|||
+0f2d : 65666175;
|
|||
+0f2e : 6c743a55;
|
|||
+0f2f : 6c74696d;
|
|||
+0f30 : 6f6e2900;
|
|||
+0f31 : 33202864;
|
|||
+0f32 : 65666175;
|
|||
+0f33 : 6c743a4f;
|
|||
+0f34 : 53204220;
|
|||
+0f35 : 2b206869;
|
|||
+0f36 : 20737065;
|
|||
+0f37 : 65642900;
|
|||
+0f38 : 636d643a;
|
|||
+0f39 : 00000000;
|
|||
+0f3a : 45525220;
|
|||
+0f3b : 00000000;
|
|||
+0f3c : 53504453;
|
|||
+0f3d : 00000000;
|
|||
+0f3e : 53504446;
|
|||
0f3f : 00000000;
|
|||
-0f40 : 424f4f54;
|
|||
-0f41 : 2e415452;
|
|||
-0f42 : 00000000;
|
|||
-0f43 : 50617573;
|
|||
-0f44 : 65640a00;
|
|||
-0f45 : 53746174;
|
|||
-0f46 : 3a000000;
|
|||
-0f47 : 3a646f6e;
|
|||
-0f48 : 650a0000;
|
|||
-0f49 : 53656374;
|
|||
-0f4a : 6f723a00;
|
|||
-0f4b : 20726563;
|
|||
-0f4c : 65697665;
|
|||
-0f4d : 3a000000;
|
|||
-0f4e : 2073656e;
|
|||
-0f4f : 64696e67;
|
|||
-0f50 : 0a000000;
|
|||
-0f51 : 64617461;
|
|||
-0f52 : 20000000;
|
|||
-0f53 : 6e616d65;
|
|||
-0f54 : 20000000;
|
|||
-0f55 : 6e756d74;
|
|||
-0f56 : 6f627566;
|
|||
-0f57 : 66657220;
|
|||
-0f58 : 00000000;
|
|||
-0f59 : 626f6f74;
|
|||
-0f5a : 20000000;
|
|||
-0f5b : 00000000;
|
|||
-0f5c : 00000000;
|
|||
-0f5d : 72025f07;
|
|||
-0f5e : f807a900;
|
|||
-0f5f : 8d04038d;
|
|||
-0f60 : 4402a907;
|
|||
-0f61 : 8d0503a9;
|
|||
-0f62 : 708d0a03;
|
|||
-0f63 : a9018d0b;
|
|||
-0f64 : 03850960;
|
|||
-0f65 : 7d8a4820;
|
|||
-0f66 : 53e488d0;
|
|||
-0f67 : fa68aa8c;
|
|||
-0f68 : 8e07ad7d;
|
|||
-0f69 : 07ee8e07;
|
|||
-0f6a : 60a9938d;
|
|||
-0f6b : e202a907;
|
|||
-0f6c : 8de302a2;
|
|||
-0f6d : 0220da07;
|
|||
-0f6e : 954320da;
|
|||
-0f6f : 07954435;
|
|||
-0f70 : 43c9fff0;
|
|||
-0f71 : f0caca10;
|
|||
-0f72 : ec3006e6;
|
|||
-0f73 : 45d002e6;
|
|||
-0f74 : 4620da07;
|
|||
-0f75 : a2018144;
|
|||
-0f76 : b545d543;
|
|||
-0f77 : d0edca10;
|
|||
-0f78 : f720d207;
|
|||
-0f79 : 4c9407a9;
|
|||
-0f7a : 038d0fd2;
|
|||
-0f7b : 6ce202ad;
|
|||
-0f7c : 8e07cd7f;
|
|||
-0f7d : 07d0abee;
|
|||
-0f7e : 0a03d003;
|
|||
-0f7f : ee0b03ad;
|
|||
-0f80 : 7d070d7e;
|
|||
-0f81 : 07d08e20;
|
|||
-0f82 : d2076ce0;
|
|||
-0f83 : 0220da07;
|
|||
-0f84 : 8de00220;
|
|||
-0f85 : da078de1;
|
|||
-0f86 : 022de002;
|
|||
-0f87 : c9fff0ed;
|
|||
-0f88 : a9008d8e;
|
|||
-0f89 : 07f08200;
|
|||
-0f8a : 0001d20f;
|
|||
-0f8b : 0001d400;
|
|||
-0f8c : 0001d401;
|
|||
-0f8d : 0001d409;
|
|||
-0f8e : 0001d010;
|
|||
-0f8f : 0001d301;
|
|||
-0f90 : 0001d300;
|
|||
-0f91 : 0001d20a;
|
|||
-0f92 : 0001d01b;
|
|||
-0f93 : 0001d018;
|
|||
-0f94 : 0001d017;
|
|||
-0f95 : 0001d01a;
|
|||
-0f96 : 0001d403;
|
|||
-0f97 : 0001d402;
|
|||
-0f98 : 0001d40e;
|
|||
-0f99 : 0004007c;
|
|||
-0f9a : 00040078;
|
|||
-0f9b : 00040074;
|
|||
-0f9c : 00040068;
|
|||
-0f9d : 00040060;
|
|||
-0f9e : 0004005c;
|
|||
-0f9f : 00040058;
|
|||
-0fa0 : 00040054;
|
|||
-0fa1 : 00040050;
|
|||
-0fa2 : 0004004c;
|
|||
-0fa3 : 00040048;
|
|||
-0fa4 : 00040044;
|
|||
-0fa5 : 00040040;
|
|||
-0fa6 : 00040028;
|
|||
-0fa7 : 00040024;
|
|||
-0fa8 : 00040020;
|
|||
-0fa9 : 0004001c;
|
|||
-0faa : 00040018;
|
|||
-0fab : 00040014;
|
|||
-0fac : 00040010;
|
|||
-0fad : 0004000c;
|
|||
-0fae : 00040008;
|
|||
-0faf : 00040004;
|
|||
-0fb0 : 00040000;
|
|||
+0f40 : 2873656e;
|
|||
+0f41 : 643a0000;
|
|||
+0f42 : 3a63686b;
|
|||
+0f43 : 3a000000;
|
|||
+0f44 : 73646361;
|
|||
+0f45 : 72640a00;
|
|||
+0f46 : 6469736b;
|
|||
+0f47 : 5f696e69;
|
|||
+0f48 : 743a0000;
|
|||
+0f49 : 6d6f756e;
|
|||
+0f4a : 743a0000;
|
|||
+0f4b : 6f70656e;
|
|||
+0f4c : 6469723a;
|
|||
+0f4d : 00000000;
|
|||
+0f4e : 424f4f54;
|
|||
+0f4f : 2e415452;
|
|||
+0f50 : 00000000;
|
|||
+0f51 : 61746172;
|
|||
+0f52 : 69726f6d;
|
|||
+0f53 : 2e62696e;
|
|||
+0f54 : 00000000;
|
|||
+0f55 : 53746174;
|
|||
+0f56 : 3a000000;
|
|||
+0f57 : 3a646f6e;
|
|||
+0f58 : 650a0000;
|
|||
+0f59 : 53656374;
|
|||
+0f5a : 6f723a00;
|
|||
+0f5b : 20726563;
|
|||
+0f5c : 65697665;
|
|||
+0f5d : 3a000000;
|
|||
+0f5e : 2073656e;
|
|||
+0f5f : 64696e67;
|
|||
+0f60 : 0a000000;
|
|||
+0f61 : 64617461;
|
|||
+0f62 : 20000000;
|
|||
+0f63 : 626f6f74;
|
|||
+0f64 : 20000000;
|
|||
+0f65 : 6e616d65;
|
|||
+0f66 : 20000000;
|
|||
+0f67 : 6e756d74;
|
|||
+0f68 : 6f627566;
|
|||
+0f69 : 66657220;
|
|||
+0f6a : 00000000;
|
|||
+0f6b : 00000000;
|
|||
+0f6c : 00000000;
|
|||
+0f6d : 72025f07;
|
|||
+0f6e : f807a900;
|
|||
+0f6f : 8d04038d;
|
|||
+0f70 : 4402a907;
|
|||
+0f71 : 8d0503a9;
|
|||
+0f72 : 708d0a03;
|
|||
+0f73 : a9018d0b;
|
|||
+0f74 : 03850960;
|
|||
+0f75 : 7d8a4820;
|
|||
+0f76 : 53e488d0;
|
|||
+0f77 : fa68aa8c;
|
|||
+0f78 : 8e07ad7d;
|
|||
+0f79 : 07ee8e07;
|
|||
+0f7a : 60a9938d;
|
|||
+0f7b : e202a907;
|
|||
+0f7c : 8de302a2;
|
|||
+0f7d : 0220da07;
|
|||
+0f7e : 954320da;
|
|||
+0f7f : 07954435;
|
|||
+0f80 : 43c9fff0;
|
|||
+0f81 : f0caca10;
|
|||
+0f82 : ec3006e6;
|
|||
+0f83 : 45d002e6;
|
|||
+0f84 : 4620da07;
|
|||
+0f85 : a2018144;
|
|||
+0f86 : b545d543;
|
|||
+0f87 : d0edca10;
|
|||
+0f88 : f720d207;
|
|||
+0f89 : 4c9407a9;
|
|||
+0f8a : 038d0fd2;
|
|||
+0f8b : 6ce202ad;
|
|||
+0f8c : 8e07cd7f;
|
|||
+0f8d : 07d0abee;
|
|||
+0f8e : 0a03d003;
|
|||
+0f8f : ee0b03ad;
|
|||
+0f90 : 7d070d7e;
|
|||
+0f91 : 07d08e20;
|
|||
+0f92 : d2076ce0;
|
|||
+0f93 : 0220da07;
|
|||
+0f94 : 8de00220;
|
|||
+0f95 : da078de1;
|
|||
+0f96 : 022de002;
|
|||
+0f97 : c9fff0ed;
|
|||
+0f98 : a9008d8e;
|
|||
+0f99 : 07f08200;
|
|||
+0f9a : 0001d20f;
|
|||
+0f9b : 0001d400;
|
|||
+0f9c : 0001d401;
|
|||
+0f9d : 0001d409;
|
|||
+0f9e : 0001d010;
|
|||
+0f9f : 0001d301;
|
|||
+0fa0 : 0001d300;
|
|||
+0fa1 : 0001d20a;
|
|||
+0fa2 : 0001d01b;
|
|||
+0fa3 : 0001d018;
|
|||
+0fa4 : 0001d017;
|
|||
+0fa5 : 0001d01a;
|
|||
+0fa6 : 0001d403;
|
|||
+0fa7 : 0001d402;
|
|||
+0fa8 : 0001d40e;
|
|||
+0fa9 : 0004007c;
|
|||
+0faa : 00040078;
|
|||
+0fab : 00040074;
|
|||
+0fac : 00040068;
|
|||
+0fad : 00040060;
|
|||
+0fae : 0004005c;
|
|||
+0faf : 00040058;
|
|||
+0fb0 : 00040054;
|
|||
+0fb1 : 00040050;
|
|||
+0fb2 : 0004004c;
|
|||
+0fb3 : 00040048;
|
|||
+0fb4 : 00040044;
|
|||
+0fb5 : 00040040;
|
|||
+0fb6 : 00040028;
|
|||
+0fb7 : 00040024;
|
|||
+0fb8 : 00040020;
|
|||
+0fb9 : 0004001c;
|
|||
+0fba : 00040018;
|
|||
+0fbb : 00040014;
|
|||
+0fbc : 00040010;
|
|||
+0fbd : 0004000c;
|
|||
+0fbe : 00040008;
|
|||
+0fbf : 00040004;
|
|||
+0fc0 : 00040000;
|
|||
END;
|
|||
diff -ur atari800core_20140301_de1/zpu_config_regs.vhdl atari800core_v1_20140121_mcc216/zpu_config_regs.vhdl
|
|||
--- atari800core_20140301_de1/zpu_config_regs.vhdl 2014-01-18 20:59:03.000000000 +0000
|
|||
+++ atari800core_v1_20140121_mcc216/zpu_config_regs.vhdl 2014-02-02 19:12:56.000000000 +0000
|
|||
@@ -413,11 +413,11 @@
|
|||
end if;
|
|||
if (addr_decoded(2) = '1') then
|
|||
- data_out(9 downto 0) <= SWITCH;
|
|||
+ data_out(9 downto 0) <= (others=>'0'); -- TODO - enable SD.
|
|||
end if;
|
|||
if (addr_decoded(3) = '1') then
|
|||
- data_out(3 downto 0) <= KEY;
|
|||
+ data_out(3 downto 0) <= key;
|
|||
end if;
|
|||
if (addr_decoded(4) = '1') then
|
|||
@@ -441,11 +441,11 @@
|
|||
end if;
|
|||
if (addr_decoded(9) = '1') then
|
|||
- data_out <= X"00000000"; -- DE1!
|
|||
+ --data_out <= X"00000000"; -- DE1!
|
|||
--data_out <= X"00000001"; -- DE2!
|
|||
--data_out <= X"00000002"; -- SOCKIT!
|
|||
--data_out <= X"00000003"; -- REPLAY!
|
|||
- --data_out <= X"00000004"; -- MMC!
|
|||
+ data_out <= X"00000004"; -- MMC!
|
|||
end if;
|
|||
if (addr_decoded(10) = '1') then
|
|||
@@ -479,12 +479,12 @@
|
|||
spi_miso <= SDCARD_DAT; -- INPUT!! XXX
|
|||
SDCARD_DAT3 <= spi_chip_select(0);
|
|||
- PAL <= switch(6);
|
|||
- USE_SDRAM <= switch(7); -- should not be all or nothing. can mix for higher ram settings...
|
|||
+ PAL <= '1'; -- TODO
|
|||
+ USE_SDRAM <= '1'; -- should not be all or nothing. can mix for higher ram settings...
|
|||
RAM_SELECT <= ram_select_reg;
|
|||
- VGA <= switch(9);
|
|||
- COMPOSITE_ON_HSYNC <= switch(8);
|
|||
- GPIO_ENABLE <= gpio_enable_reg; -- enable gpio - FIXME - esp carts!
|
|||
+ VGA <= '1';
|
|||
+ COMPOSITE_ON_HSYNC <= '0';
|
|||
+ GPIO_ENABLE <= '0'; -- enable gpio - FIXME - esp carts!
|
|||
ROM_SELECT <= rom_select_reg;
|
|||
reset_n <= reset_n_reg; -- system reset or pll not locked
|