Revision 178
Added by markw about 11 years ago
| common/a8core/address_decoder.vhdl | ||
|---|---|---|
| 
     							end if;						
 
   | 
||
| 
     					end if;																			
 
   | 
||
| 
     | 
||
| 
     					ROM_ADDR <= "000000"&"00"&ADDR_next(13 downto 0); -- x00000 based 16k
 
   | 
||
| 
     					ROM_ADDR <= "000000"&"0000"&ADDR_next(11 downto 0); -- x00000 based 4k
 
   | 
||
| 
     					SDRAM_ADDR <= SDRAM_OS_ROM_ADDR;
 
   | 
||
| 
     					SDRAM_ADDR(13 downto 0) <= ADDR_next(13 downto 0);
 
   | 
||
| 
     					SDRAM_ADDR(11 downto 0) <= ADDR_next(11 downto 0);
 
   | 
||
| 
     | 
||
| 
     				when
 
   | 
||
| 
     					X"d0"|X"d1"|X"d2"|X"d3"|
 
   | 
||
| common/a8core/atari5200core.vhd | ||
|---|---|---|
| 
     		-- Special config params
 
   | 
||
| 
     		USE_SDRAM :  in STD_LOGIC;
 
   | 
||
| 
     		ROM_IN_RAM : in std_logic;
 
   | 
||
| 
     		HALT : in std_logic
 
   | 
||
| 
     		HALT : in std_logic;
 
   | 
||
| 
     		THROTTLE_COUNT_6502 : in STD_LOGIC_VECTOR(5 DOWNTO 0)
 
   | 
||
| 
     	);
 
   | 
||
| 
     END atari5200core;
 
   | 
||
| 
     | 
||
| ... | ... | |
| 
     		 MEMORY_READY_ANTIC => MEMORY_READY_ANTIC,
 
   | 
||
| 
     		 ANTIC_REFRESH => ANTIC_REFRESH_CYCLE,
 
   | 
||
| 
     		 PAUSE_6502 => HALT,
 
   | 
||
| 
     		 THROTTLE_COUNT_6502 => "000001",
 
   | 
||
| 
     		 THROTTLE_COUNT_6502 => THROTTLE_COUNT_6502,
 
   | 
||
| 
     		 ANTIC_ENABLE_179 => ANTIC_ENABLE_179,
 
   | 
||
| 
     		 oldcpu_enable => ENABLE_179_MEMWAIT,
 
   | 
||
| 
     		 CPU_ENABLE_OUT => CPU_SHARED_ENABLE);
 
   | 
||
| ... | ... | |
| 
     		 RAM_DATA => RAM_DO,
 
   | 
||
| 
     		 ram_select => "000",
 
   | 
||
| 
     		 ROM_DATA => ROM_DO,
 
   | 
||
| 
     		 rom_select => "00001", 
 
   | 
||
| 
     		 rom_select => "000001", 
 
   | 
||
| 
     		 SDRAM_DATA => SDRAM_DO,
 
   | 
||
| 
     		 DMA_ADDR => DMA_ADDR,
 
   | 
||
| 
     		 DMA_WRITE_DATA => DMA_WRITE_DATA,
 
   | 
||
| common/a8core/atari5200core_simplesdram.vhd | ||
|---|---|---|
| 
     ---------------------------------------------------------------------------
 
   | 
||
| 
     -- (c) 2013 mark watson
 
   | 
||
| 
     -- I am happy for anyone to use this for non-commercial use.
 
   | 
||
| 
     -- If my vhdl files are used commercially or otherwise sold,
 
   | 
||
| 
     -- please contact me for explicit permission at scrameta (gmail).
 
   | 
||
| 
     -- This applies for source and binary form and derived works.
 
   | 
||
| 
     ---------------------------------------------------------------------------
 
   | 
||
| 
     | 
||
| 
     LIBRARY ieee;
 
   | 
||
| 
     USE ieee.std_logic_1164.all; 
 
   | 
||
| 
     use IEEE.STD_LOGIC_MISC.all;
 
   | 
||
| 
     use ieee.numeric_std.all;
 
   | 
||
| 
     | 
||
| 
     LIBRARY work;
 
   | 
||
| 
     -- Simple version that:
 
   | 
||
| 
     -- i) needs: CLK(58 or 28MHZ) joystick,PS2 keyboard
 
   | 
||
| 
     -- ii) provides: VIDEO,AUDIO,ROM,RAM
 
   | 
||
| 
     | 
||
| 
     -- example...
 
   | 
||
| 
     -- KEEP THIS FILE SIMPLE!
 
   | 
||
| 
     | 
||
| 
     ENTITY atari5200core_simplesdram is
 
   | 
||
| 
     	GENERIC
 
   | 
||
| 
     	(
 
   | 
||
| 
     		-- use CLK of 1.79*cycle_length
 
   | 
||
| 
     		-- I've tested 16 and 32 only, but 4 and 8 might work...
 
   | 
||
| 
     		cycle_length : integer := 16; -- or 32...
 
   | 
||
| 
     | 
||
| 
     		video_bits : integer := 8;
 
   | 
||
| 
     | 
||
| 
     		internal_rom : integer :=4;
 
   | 
||
| 
     		internal_ram : integer := 16384;  -- at start of memory map
 
   | 
||
| 
     | 
||
| 
     		palette : integer := 1
 
   | 
||
| 
     	);
 
   | 
||
| 
     	PORT
 
   | 
||
| 
     	(
 
   | 
||
| 
     		CLK :  IN  STD_LOGIC; -- cycle_length*1.79MHz
 
   | 
||
| 
     		RESET_N : IN STD_LOGIC;
 
   | 
||
| 
     | 
||
| 
     		-- VIDEO OUT - PAL/NTSC, original Atari timings approx (may be higher res)
 
   | 
||
| 
     		VIDEO_VS :  OUT  STD_LOGIC;
 
   | 
||
| 
     		VIDEO_HS :  OUT  STD_LOGIC;
 
   | 
||
| 
     		VIDEO_B :  OUT  STD_LOGIC_VECTOR(video_bits-1 DOWNTO 0);
 
   | 
||
| 
     		VIDEO_G :  OUT  STD_LOGIC_VECTOR(video_bits-1 DOWNTO 0);
 
   | 
||
| 
     		VIDEO_R :  OUT  STD_LOGIC_VECTOR(video_bits-1 DOWNTO 0);
 
   | 
||
| 
     | 
||
| 
     		-- AUDIO OUT - Pokey/GTIA 1-bit and Covox all mixed
 
   | 
||
| 
     		-- TODO - choose stereo/mono pokey
 
   | 
||
| 
     		AUDIO_L : OUT std_logic_vector(15 downto 0);
 
   | 
||
| 
     		AUDIO_R : OUT std_logic_vector(15 downto 0);
 
   | 
||
| 
     | 
||
| 
     		SDRAM_REQUEST : OUT std_logic;
 
   | 
||
| 
     		SDRAM_REQUEST_COMPLETE : IN std_logic;
 
   | 
||
| 
     		SDRAM_READ_ENABLE : out STD_LOGIC;
 
   | 
||
| 
     		SDRAM_WRITE_ENABLE : out std_logic;
 
   | 
||
| 
     		SDRAM_ADDR : out STD_LOGIC_VECTOR(22 DOWNTO 0);
 
   | 
||
| 
     		SDRAM_DO : in STD_LOGIC_VECTOR(31 DOWNTO 0);
 
   | 
||
| 
     		SDRAM_DI : out STD_LOGIC_VECTOR(31 DOWNTO 0);
 
   | 
||
| 
     		SDRAM_32BIT_WRITE_ENABLE : out std_logic;
 
   | 
||
| 
     		SDRAM_16BIT_WRITE_ENABLE : out std_logic;
 
   | 
||
| 
     		SDRAM_8BIT_WRITE_ENABLE : out std_logic;
 
   | 
||
| 
     		SDRAM_REFRESH : out std_logic;
 
   | 
||
| 
     | 
||
| 
     		DMA_FETCH : in STD_LOGIC; -- we want to read/write
 
   | 
||
| 
     		DMA_READ_ENABLE : in std_logic;
 
   | 
||
| 
     		DMA_32BIT_WRITE_ENABLE : in std_logic;
 
   | 
||
| 
     		DMA_16BIT_WRITE_ENABLE : in std_logic;
 
   | 
||
| 
     		DMA_8BIT_WRITE_ENABLE : in std_logic;
 
   | 
||
| 
     		DMA_ADDR : in std_logic_vector(23 downto 0);
 
   | 
||
| 
     		DMA_WRITE_DATA : in std_logic_vector(31 downto 0);
 
   | 
||
| 
     		MEMORY_READY_DMA : out std_logic; -- op complete
 
   | 
||
| 
     		DMA_MEMORY_DATA : out std_logic_vector(31 downto 0);
 
   | 
||
| 
     | 
||
| 
     		HALT : in std_logic;
 
   | 
||
| 
     		THROTTLE_COUNT_6502 : in std_logic_vector(5 downto 0) -- standard speed is cycle_length-1
 
   | 
||
| 
     | 
||
| 
     		-- rename to simple_sdram...
 
   | 
||
| 
     | 
||
| 
     		-- JOYSTICK
 
   | 
||
| 
     		--JOY1_n : IN std_logic_vector(4 downto 0); -- FRLDU, 0=pressed
 
   | 
||
| 
     		--JOY2_n : IN std_logic_vector(4 downto 0); -- FRLDU, 0=pressed
 
   | 
||
| 
     | 
||
| 
     		-- KEYBOARD
 
   | 
||
| 
     		--PS2_CLK : IN STD_LOGIC;
 
   | 
||
| 
     		--PS2_DAT : IN STD_LOGIC;
 
   | 
||
| 
     	);
 
   | 
||
| 
     end atari5200core_simplesdram;
 
   | 
||
| 
     | 
||
| 
     ARCHITECTURE vhdl OF atari5200core_simplesdram IS 
 
   | 
||
| 
     | 
||
| 
     -- pokey keyboard
 
   | 
||
| 
     SIGNAL KEYBOARD_SCAN : std_logic_vector(5 downto 0);
 
   | 
||
| 
     SIGNAL KEYBOARD_RESPONSE : std_logic_vector(1 downto 0);
 
   | 
||
| 
     | 
||
| 
     -- PBI
 
   | 
||
| 
     SIGNAL PBI_WRITE_DATA : std_logic_vector(31 downto 0);
 
   | 
||
| 
     | 
||
| 
     -- INTERNAL ROM/RAM
 
   | 
||
| 
     SIGNAL	RAM_ADDR :  STD_LOGIC_VECTOR(18 DOWNTO 0);
 
   | 
||
| 
     SIGNAL	RAM_DO :  STD_LOGIC_VECTOR(15 DOWNTO 0);
 
   | 
||
| 
     SIGNAL	RAM_REQUEST :  STD_LOGIC;
 
   | 
||
| 
     SIGNAL	RAM_REQUEST_COMPLETE :  STD_LOGIC;
 
   | 
||
| 
     SIGNAL	RAM_WRITE_ENABLE :  STD_LOGIC;
 
   | 
||
| 
     | 
||
| 
     SIGNAL	ROM_ADDR :  STD_LOGIC_VECTOR(21 DOWNTO 0);
 
   | 
||
| 
     SIGNAL	ROM_DO :  STD_LOGIC_VECTOR(7 DOWNTO 0);
 
   | 
||
| 
     SIGNAL	ROM_REQUEST :  STD_LOGIC;
 
   | 
||
| 
     SIGNAL	ROM_REQUEST_COMPLETE :  STD_LOGIC;
 
   | 
||
| 
     | 
||
| 
     -- CONFIG
 
   | 
||
| 
     SIGNAL USE_SDRAM : STD_LOGIC;
 
   | 
||
| 
     SIGNAL ROM_IN_RAM : STD_LOGIC;
 
   | 
||
| 
     | 
||
| 
     BEGIN
 
   | 
||
| 
     | 
||
| 
     -- PS2 to pokey
 
   | 
||
| 
     KEYBOARD_RESPONSE <= "11";
 
   | 
||
| 
     | 
||
| 
     atari5200_simple_sdram1 : entity work.atari5200core
 
   | 
||
| 
     	GENERIC MAP
 
   | 
||
| 
     	(
 
   | 
||
| 
     		cycle_length => cycle_length,
 
   | 
||
| 
     		video_bits => video_bits,
 
   | 
||
| 
     		palette => palette
 
   | 
||
| 
     	)
 
   | 
||
| 
     	PORT MAP
 
   | 
||
| 
     	(
 
   | 
||
| 
     		CLK => CLK,
 
   | 
||
| 
     		RESET_N => RESET_N,
 
   | 
||
| 
     | 
||
| 
     		VIDEO_VS => VIDEO_VS,
 
   | 
||
| 
     		VIDEO_HS => VIDEO_HS,
 
   | 
||
| 
     		VIDEO_B => VIDEO_B,
 
   | 
||
| 
     		VIDEO_G => VIDEO_G,
 
   | 
||
| 
     		VIDEO_R => VIDEO_R,
 
   | 
||
| 
     		VIDEO_BLANK => open,
 
   | 
||
| 
     		VIDEO_BURST => open,
 
   | 
||
| 
     		VIDEO_START_OF_FIELD => open,
 
   | 
||
| 
     		VIDEO_ODD_LINE => open,
 
   | 
||
| 
     | 
||
| 
     		AUDIO_L => AUDIO_L,
 
   | 
||
| 
     		AUDIO_R => AUDIO_R,
 
   | 
||
| 
     | 
||
| 
     		-- Pokey keyboard matrix
 
   | 
||
| 
     		-- Standard component available to connect this to PS2
 
   | 
||
| 
     		KEYBOARD_RESPONSE => KEYBOARD_RESPONSE, -- TODO controller
 
   | 
||
| 
     		KEYBOARD_SCAN => KEYBOARD_SCAN,
 
   | 
||
| 
     | 
||
| 
     		-- Pokey pots
 
   | 
||
| 
     		POT_IN => (others=>'1'), -- TODO analog controller
 
   | 
||
| 
     		POT_RESET => open,
 
   | 
||
| 
     | 
||
| 
     		-- PBI
 
   | 
||
| 
     		PBI_ADDR => open,
 
   | 
||
| 
     		PBI_WRITE_ENABLE => open,
 
   | 
||
| 
     		PBI_SNOOP_DATA => DMA_MEMORY_DATA,
 
   | 
||
| 
     		PBI_WRITE_DATA => PBI_WRITE_DATA,
 
   | 
||
| 
     		PBI_WIDTH_8bit_ACCESS => SDRAM_8BIT_WRITE_ENABLE,
 
   | 
||
| 
     		PBI_WIDTH_16bit_ACCESS => SDRAM_16BIT_WRITE_ENABLE,
 
   | 
||
| 
     		PBI_WIDTH_32bit_ACCESS => SDRAM_32BIT_WRITE_ENABLE,
 
   | 
||
| 
     | 
||
| 
     		-- TODO - review this mechanism
 
   | 
||
| 
     		-- Since this is intended for real carts, instead should use real timing, though perhaps that can be external...
 
   | 
||
| 
     		PBI_ROM_DO => (others=>'1'),
 
   | 
||
| 
     		PBI_REQUEST => open,
 
   | 
||
| 
     		PBI_REQUEST_COMPLETE => '1',
 
   | 
||
| 
     | 
||
| 
     		-- SIO
 
   | 
||
| 
     		SIO_RXD => '1',
 
   | 
||
| 
     		SIO_TXD => open,
 
   | 
||
| 
     | 
||
| 
     		-- GTIA consol
 
   | 
||
| 
     		CONSOL_OUT => open, -- TODO sound, pots(err, pokey?), 2bit controller keyboard select
 
   | 
||
| 
     		CONSOL_IN => (others=>'1'),
 
   | 
||
| 
     		GTIA_TRIG => (others=>'1'), -- triggers (4 ports...)
 
   | 
||
| 
     | 
||
| 
     		-- ANTIC 
 
   | 
||
| 
     		ANTIC_REFRESH => SDRAM_REFRESH,
 
   | 
||
| 
     | 
||
| 
     		-----------------------
 
   | 
||
| 
     		SDRAM_REQUEST => SDRAM_REQUEST,
 
   | 
||
| 
     		SDRAM_REQUEST_COMPLETE => SDRAM_REQUEST_COMPLETE,
 
   | 
||
| 
     		SDRAM_READ_ENABLE => SDRAM_READ_ENABLE,
 
   | 
||
| 
     		SDRAM_WRITE_ENABLE => SDRAM_WRITE_ENABLE,
 
   | 
||
| 
     		SDRAM_ADDR => SDRAM_ADDR,
 
   | 
||
| 
     		SDRAM_DO => SDRAM_DO,
 
   | 
||
| 
     | 
||
| 
     		RAM_ADDR => RAM_ADDR,
 
   | 
||
| 
     		RAM_DO => RAM_DO,
 
   | 
||
| 
     		RAM_REQUEST => RAM_REQUEST,
 
   | 
||
| 
     		RAM_REQUEST_COMPLETE => RAM_REQUEST_COMPLETE,
 
   | 
||
| 
     		RAM_WRITE_ENABLE => RAM_WRITE_ENABLE,
 
   | 
||
| 
     | 
||
| 
     		ROM_ADDR => ROM_ADDR,
 
   | 
||
| 
     		ROM_DO => ROM_DO,
 
   | 
||
| 
     		ROM_REQUEST => ROM_REQUEST,
 
   | 
||
| 
     		ROM_REQUEST_COMPLETE => ROM_REQUEST_COMPLETE,
 
   | 
||
| 
     | 
||
| 
     		-- DMA memory map differs
 
   | 
||
| 
     		DMA_FETCH => DMA_FETCH,
 
   | 
||
| 
     		DMA_READ_ENABLE => DMA_READ_ENABLE,
 
   | 
||
| 
     		DMA_32BIT_WRITE_ENABLE => DMA_32BIT_WRITE_ENABLE,
 
   | 
||
| 
     		DMA_16BIT_WRITE_ENABLE => DMA_16BIT_WRITE_ENABLE,
 
   | 
||
| 
     		DMA_8BIT_WRITE_ENABLE => DMA_8BIT_WRITE_ENABLE,
 
   | 
||
| 
     		DMA_ADDR => DMA_ADDR,
 
   | 
||
| 
     		DMA_WRITE_DATA => DMA_WRITE_DATA,
 
   | 
||
| 
     		MEMORY_READY_DMA => MEMORY_READY_DMA,
 
   | 
||
| 
     | 
||
| 
     		-- Special config params
 
   | 
||
| 
     		USE_SDRAM => USE_SDRAM,
 
   | 
||
| 
     		ROM_IN_RAM => ROM_IN_RAM,
 
   | 
||
| 
     		THROTTLE_COUNT_6502 => THROTTLE_COUNT_6502,
 
   | 
||
| 
     		HALT => HALT
 
   | 
||
| 
     	);
 
   | 
||
| 
     | 
||
| 
     -- Since we're not exposing PBI, expose a few key parts needed for SDRAM
 
   | 
||
| 
     SDRAM_DI <= PBI_WRITE_DATA;
 
   | 
||
| 
     | 
||
| 
     -- Internal rom/ram
 
   | 
||
| 
     internalromram1 : entity work.internalromram
 
   | 
||
| 
     	GENERIC MAP
 
   | 
||
| 
     	(
 
   | 
||
| 
     		internal_rom => internal_rom,
 
   | 
||
| 
     		internal_ram => internal_ram
 
   | 
||
| 
     	)
 
   | 
||
| 
     	PORT MAP (
 
   | 
||
| 
      		clock   => CLK,
 
   | 
||
| 
     		reset_n => RESET_N,
 
   | 
||
| 
     | 
||
| 
     		ROM_ADDR => ROM_ADDR,
 
   | 
||
| 
     		ROM_REQUEST_COMPLETE => ROM_REQUEST_COMPLETE,
 
   | 
||
| 
     		ROM_REQUEST => ROM_REQUEST,
 
   | 
||
| 
     		ROM_DATA => ROM_DO,
 
   | 
||
| 
     | 
||
| 
     		RAM_ADDR => RAM_ADDR,
 
   | 
||
| 
     		RAM_WR_ENABLE => RAM_WRITE_ENABLE,
 
   | 
||
| 
     		RAM_DATA_IN => PBI_WRITE_DATA(7 downto 0),
 
   | 
||
| 
     		RAM_REQUEST_COMPLETE => RAM_REQUEST_COMPLETE,
 
   | 
||
| 
     		RAM_REQUEST => RAM_REQUEST,
 
   | 
||
| 
     		RAM_DATA => RAM_DO(7 downto 0)
 
   | 
||
| 
     	);
 
   | 
||
| 
     | 
||
| 
     	USE_SDRAM <= '1' when internal_ram=0 else '0';
 
   | 
||
| 
     	ROM_IN_RAM <= '1' when internal_rom=0 else '0';
 
   | 
||
| 
     | 
||
| 
     end vhdl;
 
   | 
||
| 
     | 
||
| common/a8core/internalromram.vhd | ||
|---|---|---|
| 
     		end if;
 
   | 
||
| 
     	end process;
 
   | 
||
| 
     | 
||
| 
     gen_internal_5200 : if internal_rom=4 generate
 
   | 
||
| 
     	-- f000 to ffff (4k)
 
   | 
||
| 
     	rom4 : entity work.os_5200
 
   | 
||
| 
     	PORT MAP(clock => clock,
 
   | 
||
| 
     			 address => rom_addr(10 downto 0),
 
   | 
||
| 
     			 q => ROM_data
 
   | 
||
| 
     			 );
 
   | 
||
| 
     	rom_request_complete <= rom_request_reg;
 
   | 
||
| 
     | 
||
| 
     end generate;
 
   | 
||
| 
     | 
||
| 
     gen_internal_os_b : if internal_rom=3 generate
 
   | 
||
| 
     	-- d800 to dfff (2k)
 
   | 
||
| 
     	rom2 : entity work.os2
 
   | 
||
| common/a8core/os_5200.vhdl | ||
|---|---|---|
| 
     | 
||
| 
     --
 
   | 
||
| 
     --ROMsUsingBlockRAMResources.
 
   | 
||
| 
     --VHDLcodeforaROMwithregisteredoutput(template2)
 
   | 
||
| 
     --
 
   | 
||
| 
     library ieee;
 
   | 
||
| 
     use ieee.std_logic_1164.all;
 
   | 
||
| 
     use ieee.std_logic_unsigned.all;
 
   | 
||
| 
     | 
||
| 
     entity os_5200 is
 
   | 
||
| 
     port(
 
   | 
||
| 
             clock:in std_logic;
 
   | 
||
| 
             address:in std_logic_vector(10 downto 0);
 
   | 
||
| 
             q:out std_logic_vector(7 downto 0)
 
   | 
||
| 
     );
 
   | 
||
| 
     end os_5200;
 
   | 
||
| 
     | 
||
| 
     architecture syn of os_5200 is
 
   | 
||
| 
             type rom_type is array(0 to 2047) of std_logic_vector(7 downto 0);
 
   | 
||
| 
             signal ROM:rom_type:=
 
   | 
||
| 
     (
 
   | 
||
| 
     	X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"ff",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"ff",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"3e",
 
   | 
||
| 
     X"60",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"06",
 
   | 
||
| 
     X"7c",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"6c",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"30",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"46",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"1c",
 
   | 
||
| 
     X"36",
 
   | 
||
| 
     X"1c",
 
   | 
||
| 
     X"38",
 
   | 
||
| 
     X"6f",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"3b",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"0e",
 
   | 
||
| 
     X"1c",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"1c",
 
   | 
||
| 
     X"0e",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"70",
 
   | 
||
| 
     X"38",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"38",
 
   | 
||
| 
     X"70",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"ff",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"7e",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"30",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"7e",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"06",
 
   | 
||
| 
     X"0c",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"30",
 
   | 
||
| 
     X"60",
 
   | 
||
| 
     X"40",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"6e",
 
   | 
||
| 
     X"76",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"38",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"7e",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"0c",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"30",
 
   | 
||
| 
     X"7e",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"7e",
 
   | 
||
| 
     X"0c",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"0c",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"0c",
 
   | 
||
| 
     X"1c",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"6c",
 
   | 
||
| 
     X"7e",
 
   | 
||
| 
     X"0c",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"7e",
 
   | 
||
| 
     X"60",
 
   | 
||
| 
     X"7c",
 
   | 
||
| 
     X"06",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"60",
 
   | 
||
| 
     X"7c",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"7e",
 
   | 
||
| 
     X"06",
 
   | 
||
| 
     X"0c",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"30",
 
   | 
||
| 
     X"30",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"3e",
 
   | 
||
| 
     X"06",
 
   | 
||
| 
     X"0c",
 
   | 
||
| 
     X"38",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"30",
 
   | 
||
| 
     X"06",
 
   | 
||
| 
     X"0c",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"30",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"0c",
 
   | 
||
| 
     X"06",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"7e",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"7e",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"60",
 
   | 
||
| 
     X"30",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"0c",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"30",
 
   | 
||
| 
     X"60",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"0c",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"6e",
 
   | 
||
| 
     X"6e",
 
   | 
||
| 
     X"60",
 
   | 
||
| 
     X"3e",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"7e",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"7c",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"7c",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"7c",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"60",
 
   | 
||
| 
     X"60",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"78",
 
   | 
||
| 
     X"6c",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"6c",
 
   | 
||
| 
     X"78",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"7e",
 
   | 
||
| 
     X"60",
 
   | 
||
| 
     X"7c",
 
   | 
||
| 
     X"60",
 
   | 
||
| 
     X"60",
 
   | 
||
| 
     X"7e",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"7e",
 
   | 
||
| 
     X"60",
 
   | 
||
| 
     X"7c",
 
   | 
||
| 
     X"60",
 
   | 
||
| 
     X"60",
 
   | 
||
| 
     X"60",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"3e",
 
   | 
||
| 
     X"60",
 
   | 
||
| 
     X"60",
 
   | 
||
| 
     X"6e",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"3e",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"7e",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"7e",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"7e",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"06",
 
   | 
||
| 
     X"06",
 
   | 
||
| 
     X"06",
 
   | 
||
| 
     X"06",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"6c",
 
   | 
||
| 
     X"78",
 
   | 
||
| 
     X"78",
 
   | 
||
| 
     X"6c",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"60",
 
   | 
||
| 
     X"60",
 
   | 
||
| 
     X"60",
 
   | 
||
| 
     X"60",
 
   | 
||
| 
     X"60",
 
   | 
||
| 
     X"7e",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"63",
 
   | 
||
| 
     X"77",
 
   | 
||
| 
     X"7f",
 
   | 
||
| 
     X"6b",
 
   | 
||
| 
     X"63",
 
   | 
||
| 
     X"63",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"76",
 
   | 
||
| 
     X"7e",
 
   | 
||
| 
     X"7e",
 
   | 
||
| 
     X"6e",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"7c",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"7c",
 
   | 
||
| 
     X"60",
 
   | 
||
| 
     X"60",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"6c",
 
   | 
||
| 
     X"36",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"7c",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"7c",
 
   | 
||
| 
     X"6c",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"60",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"06",
 
   | 
||
| 
     X"06",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"7e",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"7e",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"63",
 
   | 
||
| 
     X"63",
 
   | 
||
| 
     X"6b",
 
   | 
||
| 
     X"7f",
 
   | 
||
| 
     X"77",
 
   | 
||
| 
     X"63",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"7e",
 
   | 
||
| 
     X"0c",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"30",
 
   | 
||
| 
     X"60",
 
   | 
||
| 
     X"7e",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"1e",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"1e",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"40",
 
   | 
||
| 
     X"60",
 
   | 
||
| 
     X"30",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"0c",
 
   | 
||
| 
     X"06",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"78",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"78",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"08",
 
   | 
||
| 
     X"1c",
 
   | 
||
| 
     X"36",
 
   | 
||
| 
     X"63",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"ff",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"36",
 
   | 
||
| 
     X"7f",
 
   | 
||
| 
     X"7f",
 
   | 
||
| 
     X"3e",
 
   | 
||
| 
     X"1c",
 
   | 
||
| 
     X"08",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"1f",
 
   | 
||
| 
     X"1f",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"03",
 
   | 
||
| 
     X"03",
 
   | 
||
| 
     X"03",
 
   | 
||
| 
     X"03",
 
   | 
||
| 
     X"03",
 
   | 
||
| 
     X"03",
 
   | 
||
| 
     X"03",
 
   | 
||
| 
     X"03",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"f8",
 
   | 
||
| 
     X"f8",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"f8",
 
   | 
||
| 
     X"f8",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"f8",
 
   | 
||
| 
     X"f8",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"03",
 
   | 
||
| 
     X"07",
 
   | 
||
| 
     X"0e",
 
   | 
||
| 
     X"1c",
 
   | 
||
| 
     X"38",
 
   | 
||
| 
     X"70",
 
   | 
||
| 
     X"e0",
 
   | 
||
| 
     X"c0",
 
   | 
||
| 
     X"c0",
 
   | 
||
| 
     X"e0",
 
   | 
||
| 
     X"70",
 
   | 
||
| 
     X"38",
 
   | 
||
| 
     X"1c",
 
   | 
||
| 
     X"0e",
 
   | 
||
| 
     X"07",
 
   | 
||
| 
     X"03",
 
   | 
||
| 
     X"01",
 
   | 
||
| 
     X"03",
 
   | 
||
| 
     X"07",
 
   | 
||
| 
     X"0f",
 
   | 
||
| 
     X"1f",
 
   | 
||
| 
     X"3f",
 
   | 
||
| 
     X"7f",
 
   | 
||
| 
     X"ff",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"0f",
 
   | 
||
| 
     X"0f",
 
   | 
||
| 
     X"0f",
 
   | 
||
| 
     X"0f",
 
   | 
||
| 
     X"80",
 
   | 
||
| 
     X"c0",
 
   | 
||
| 
     X"e0",
 
   | 
||
| 
     X"f0",
 
   | 
||
| 
     X"f8",
 
   | 
||
| 
     X"fc",
 
   | 
||
| 
     X"fe",
 
   | 
||
| 
     X"ff",
 
   | 
||
| 
     X"0f",
 
   | 
||
| 
     X"0f",
 
   | 
||
| 
     X"0f",
 
   | 
||
| 
     X"0f",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"f0",
 
   | 
||
| 
     X"f0",
 
   | 
||
| 
     X"f0",
 
   | 
||
| 
     X"f0",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"ff",
 
   | 
||
| 
     X"ff",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"ff",
 
   | 
||
| 
     X"ff",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"f0",
 
   | 
||
| 
     X"f0",
 
   | 
||
| 
     X"f0",
 
   | 
||
| 
     X"f0",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"1c",
 
   | 
||
| 
     X"1c",
 
   | 
||
| 
     X"77",
 
   | 
||
| 
     X"77",
 
   | 
||
| 
     X"08",
 
   | 
||
| 
     X"1c",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"1f",
 
   | 
||
| 
     X"1f",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"ff",
 
   | 
||
| 
     X"ff",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"ff",
 
   | 
||
| 
     X"ff",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"7e",
 
   | 
||
| 
     X"7e",
 
   | 
||
| 
     X"7e",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"ff",
 
   | 
||
| 
     X"ff",
 
   | 
||
| 
     X"ff",
 
   | 
||
| 
     X"ff",
 
   | 
||
| 
     X"c0",
 
   | 
||
| 
     X"c0",
 
   | 
||
| 
     X"c0",
 
   | 
||
| 
     X"c0",
 
   | 
||
| 
     X"c0",
 
   | 
||
| 
     X"c0",
 
   | 
||
| 
     X"c0",
 
   | 
||
| 
     X"c0",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"ff",
 
   | 
||
| 
     X"ff",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"ff",
 
   | 
||
| 
     X"ff",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"f0",
 
   | 
||
| 
     X"f0",
 
   | 
||
| 
     X"f0",
 
   | 
||
| 
     X"f0",
 
   | 
||
| 
     X"f0",
 
   | 
||
| 
     X"f0",
 
   | 
||
| 
     X"f0",
 
   | 
||
| 
     X"f0",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"1f",
 
   | 
||
| 
     X"1f",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"78",
 
   | 
||
| 
     X"60",
 
   | 
||
| 
     X"78",
 
   | 
||
| 
     X"60",
 
   | 
||
| 
     X"7e",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"1e",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"7e",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"7e",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"30",
 
   | 
||
| 
     X"7e",
 
   | 
||
| 
     X"30",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"0c",
 
   | 
||
| 
     X"7e",
 
   | 
||
| 
     X"0c",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"7e",
 
   | 
||
| 
     X"7e",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"06",
 
   | 
||
| 
     X"3e",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"3e",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"60",
 
   | 
||
| 
     X"60",
 
   | 
||
| 
     X"7c",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"7c",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"60",
 
   | 
||
| 
     X"60",
 
   | 
||
| 
     X"60",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"06",
 
   | 
||
| 
     X"06",
 
   | 
||
| 
     X"3e",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"3e",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"7e",
 
   | 
||
| 
     X"60",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"0e",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"3e",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"3e",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"3e",
 
   | 
||
| 
     X"06",
 
   | 
||
| 
     X"7c",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"60",
 
   | 
||
| 
     X"60",
 
   | 
||
| 
     X"7c",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"38",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"06",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"06",
 
   | 
||
| 
     X"06",
 
   | 
||
| 
     X"06",
 
   | 
||
| 
     X"06",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"60",
 
   | 
||
| 
     X"60",
 
   | 
||
| 
     X"6c",
 
   | 
||
| 
     X"78",
 
   | 
||
| 
     X"6c",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"38",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"7f",
 
   | 
||
| 
     X"7f",
 
   | 
||
| 
     X"6b",
 
   | 
||
| 
     X"63",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"7c",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"7c",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"7c",
 
   | 
||
| 
     X"60",
 
   | 
||
| 
     X"60",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"3e",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"3e",
 
   | 
||
| 
     X"06",
 
   | 
||
| 
     X"06",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"7c",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"60",
 
   | 
||
| 
     X"60",
 
   | 
||
| 
     X"60",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"3e",
 
   | 
||
| 
     X"60",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"06",
 
   | 
||
| 
     X"7c",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"7e",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"0e",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"3e",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"63",
 
   | 
||
| 
     X"6b",
 
   | 
||
| 
     X"7f",
 
   | 
||
| 
     X"3e",
 
   | 
||
| 
     X"36",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"3e",
 
   | 
||
| 
     X"0c",
 
   | 
||
| 
     X"78",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"7e",
 
   | 
||
| 
     X"0c",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"30",
 
   | 
||
| 
     X"7e",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"7e",
 
   | 
||
| 
     X"7e",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"3c",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"7e",
 
   | 
||
| 
     X"78",
 
   | 
||
| 
     X"7c",
 
   | 
||
| 
     X"6e",
 
   | 
||
| 
     X"66",
 
   | 
||
| 
     X"06",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"08",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"38",
 
   | 
||
| 
     X"78",
 
   | 
||
| 
     X"38",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"08",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"10",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"1c",
 
   | 
||
| 
     X"1e",
 
   | 
||
| 
     X"1c",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"10",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"6c",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"02",
 
   | 
||
| 
     X"48",
 
   | 
||
| 
     X"a9",
 
   | 
||
| 
     X"20",
 
   | 
||
| 
     X"2c",
 
   | 
||
| 
     X"0e",
 
   | 
||
| 
     X"e8",
 
   | 
||
| 
     X"d0",
 
   | 
||
| 
     X"0d",
 
   | 
||
| 
     X"a9",
 
   | 
||
| 
     X"df",
 
   | 
||
| 
     X"8d",
 
   | 
||
| 
     X"0e",
 
   | 
||
| 
     X"e8",
 
   | 
||
| 
     X"a5",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"8d",
 
   | 
||
| 
     X"0e",
 
   | 
||
| 
     X"e8",
 
   | 
||
| 
     X"6c",
 
   | 
||
| 
     X"10",
 
   | 
||
| 
     X"02",
 
   | 
||
| 
     X"10",
 
   | 
||
| 
     X"6e",
 
   | 
||
| 
     X"50",
 
   | 
||
| 
     X"79",
 
   | 
||
| 
     X"a9",
 
   | 
||
| 
     X"10",
 
   | 
||
| 
     X"2d",
 
   | 
||
| 
     X"0e",
 
   | 
||
| 
     X"e8",
 
   | 
||
| 
     X"d0",
 
   | 
||
| 
     X"0d",
 
   | 
||
| 
     X"a9",
 
   | 
||
| 
     X"ef",
 
   | 
||
| 
     X"8d",
 
   | 
||
| 
     X"0e",
 
   | 
||
| 
     X"e8",
 
   | 
||
| 
     X"a5",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"8d",
 
   | 
||
| 
     X"0e",
 
   | 
||
| 
     X"e8",
 
   | 
||
| 
     X"6c",
 
   | 
||
| 
     X"12",
 
   | 
||
| 
     X"02",
 
   | 
||
| 
     X"a9",
 
   | 
||
| 
     X"08",
 
   | 
||
| 
     X"25",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"f0",
 
   | 
||
| 
     X"12",
 
   | 
||
| 
     X"2d",
 
   | 
||
| 
     X"0e",
 
   | 
||
| 
     X"e8",
 
   | 
||
| 
     X"d0",
 
   | 
||
| 
     X"0d",
 
   | 
||
| 
     X"a9",
 
   | 
||
| 
     X"f7",
 
   | 
||
| 
     X"8d",
 
   | 
||
| 
     X"0e",
 
   | 
||
| 
     X"e8",
 
   | 
||
| 
     X"a5",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"8d",
 
   | 
||
| 
     X"0e",
 
   | 
||
| 
     X"e8",
 
   | 
||
| 
     X"6c",
 
   | 
||
| 
     X"14",
 
   | 
||
| 
     X"02",
 
   | 
||
| 
     X"ad",
 
   | 
||
| 
     X"0e",
 
   | 
||
| 
     X"e8",
 
   | 
||
| 
     X"6a",
 
   | 
||
| 
     X"b0",
 
   | 
||
| 
     X"0d",
 
   | 
||
| 
     X"a9",
 
   | 
||
| 
     X"fe",
 
   | 
||
| 
     X"8d",
 
   | 
||
| 
     X"0e",
 
   | 
||
| 
     X"e8",
 
   | 
||
| 
     X"a5",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"8d",
 
   | 
||
| 
     X"0e",
 
   | 
||
| 
     X"e8",
 
   | 
||
| 
     X"6c",
 
   | 
||
| 
     X"16",
 
   | 
||
| 
     X"02",
 
   | 
||
| 
     X"6a",
 
   | 
||
| 
     X"b0",
 
   | 
||
| 
     X"0d",
 
   | 
||
| 
     X"a9",
 
   | 
||
| 
     X"fd",
 
   | 
||
| 
     X"8d",
 
   | 
||
| 
     X"0e",
 
   | 
||
| 
     X"e8",
 
   | 
||
| 
     X"a5",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"8d",
 
   | 
||
| 
     X"0e",
 
   | 
||
| 
     X"e8",
 
   | 
||
| 
     X"6c",
 
   | 
||
| 
     X"18",
 
   | 
||
| 
     X"02",
 
   | 
||
| 
     X"6a",
 
   | 
||
| 
     X"b0",
 
   | 
||
| 
     X"0d",
 
   | 
||
| 
     X"a9",
 
   | 
||
| 
     X"fb",
 
   | 
||
| 
     X"8d",
 
   | 
||
| 
     X"0e",
 
   | 
||
| 
     X"e8",
 
   | 
||
| 
     X"a5",
 
   | 
||
| 
     X"00",
 
   | 
||
| 
     X"8d",
 
   | 
||
| 
     X"0e",
 
   | 
||
| 
     X"e8",
 
   | 
||
| 
     X"6c",
 
   | 
||
| 
     X"1a",
 
   | 
||
| 
     X"02",
 
   | 
||
| 
     X"8a",
 
   | 
||
| 
     X"48",
 
   | 
||
| 
     X"ba",
 
   | 
||
| 
     X"bd",
 
   | 
||
| 
     X"03",
 
   | 
||
Fixes for 5200 support. Now working:-)