inclk0 inclk0 c1 c1 locked locked c0 c0 cart_phi2 cart_phi2 in label 128 128 255 230 230 230 in label clk clk cart_s5 cart_s5 cart_s4 cart_s4 cart_ctl cart_ctl cart_rw cart_rw sd_card_miso sd_card_miso addr_in[15:0] addr_in[15:0] HEXRADIX bus_addr_out[15:0] bus_addr_out[15:0] HEXRADIX cart_addr[12:0] cart_addr[12:0] HEXRADIX out label 128 128 255 230 230 230 out label led[0:0] led[0:0] cart_rd5 cart_rd5 cart_rd4 cart_rd4 sd_card_cs sd_card_cs sd_card_sclk sd_card_sclk sd_card_mosi sd_card_mosi ext_sram_addr[19:0] ext_sram_addr[19:0] ext_sram_ce ext_sram_ce ext_sram_oe ext_sram_oe ext_sram_we ext_sram_we inout label 128 128 255 230 230 230 inout label cart_data[7:0] cart_data[7:0] HEXRADIX ext_sram_data[7:0] ext_sram_data[7:0] veronicaconfig label 128 128 255 230 230 230 window_address window_address bank_half_select bank_half_select data_out[7:0] data_out[7:0] HEXRADIX atariconfig label 128 128 255 230 230 230 sem_out sem_out banka_enable banka_enable bank8_enable bank8_enable bank_half_select bank_half_select bank_select bank_select enable_65816 enable_65816 data_out[7:0] data_out[7:0] HEXRADIX data_in[7:0] data_in[7:0] HEXRADIX rw_n rw_n atari_decode label 128 128 255 230 230 230 state_reg[2:0] state_reg[2:0] bus_rw_n_reg bus_rw_n_reg slow_bus_rw_n_reg slow_bus_rw_n_reg bus_data_out[7:0] bus_data_out[7:0] bus_drive bus_drive bus_request bus_request addr_in[12:0] addr_in[12:0] HEXRADIX data_in[7:0] data_in[7:0] HEXRADIX rw_n rw_n s4_n s4_n s5_n s5_n ctl_n ctl_n