set_global_assignment -name IP_TOOL_NAME "ALTIOBUF" set_global_assignment -name IP_TOOL_VERSION "14.0" set_global_assignment -name IP_GENERATED_DEVICE_FAMILY "{Cyclone V}" set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "altiobufo.vhd"] set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "altiobufo.cmp"]