# Copyright (C) 1991-2007 Altera Corporation # Your use of Altera Corporation's design tools, logic functions # and other software and tools, and its AMPP partner logic # functions, and any output files from any of the foregoing # (including device programming or simulation files), and any # associated documentation or information are expressly subject # to the terms and conditions of the Altera Program License # Subscription Agreement, Altera MegaCore Function License # Agreement, or other applicable license agreement, including, # without limitation, that your use is for the sole purpose of # programming logic devices manufactured by Altera and sold by # Altera or its authorized distributors. Please refer to the # applicable agreement for further details. # The default values for assignments are stored in the file # minimig_de1_assignment_defaults.qdf # If this file doesn't exist, and for assignments not listed, see file # assignment_defaults.qdf # Altera recommends that you do not modify this file. This # file is updated automatically by the Quartus II software # and any changes you make may be lost or overwritten. set_global_assignment -name FAMILY "Cyclone III" set_global_assignment -name DEVICE EP3C25E144C8 set_global_assignment -name TOP_LEVEL_ENTITY atari800core_mist set_global_assignment -name ORIGINAL_QUARTUS_VERSION 7.2 set_global_assignment -name PROJECT_CREATION_TIME_DATE "22:27:29 OCTOBER 30, 2007" set_global_assignment -name LAST_QUARTUS_VERSION "13.1 SP4.26" set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS OFF -section_id eda_palace set_global_assignment -name DEVICE_FILTER_PIN_COUNT 144 set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "NO HEAT SINK WITH STILL AIR" set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)" set_global_assignment -name OPTIMIZE_HOLD_TIMING "ALL PATHS" set_global_assignment -name FITTER_EFFORT "AUTO FIT" set_global_assignment -name STRATIX_CONFIGURATION_DEVICE EPCS4 set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO" set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "AS INPUT TRI-STATED" set_global_assignment -name CYCLONEIII_CONFIGURATION_SCHEME "PASSIVE SERIAL" set_global_assignment -name GENERATE_RBF_FILE ON set_global_assignment -name FORCE_CONFIGURATION_VCCIO ON set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL" set_location_assignment PIN_7 -to LED set_location_assignment PIN_22 -to CLOCK_50[0] set_location_assignment PIN_23 -to CLOCK_50[1] set_location_assignment PIN_128 -to CLOCK_32[0] set_location_assignment PIN_129 -to CLOCK_32[1] set_location_assignment PIN_54 -to CLOCK_27[0] set_location_assignment PIN_55 -to CLOCK_27[1] set_location_assignment PIN_144 -to VGA_R[5] set_location_assignment PIN_143 -to VGA_R[4] set_location_assignment PIN_142 -to VGA_R[3] set_location_assignment PIN_141 -to VGA_R[2] set_location_assignment PIN_137 -to VGA_R[1] set_location_assignment PIN_135 -to VGA_R[0] set_location_assignment PIN_133 -to VGA_B[5] set_location_assignment PIN_132 -to VGA_B[4] set_location_assignment PIN_125 -to VGA_B[3] set_location_assignment PIN_121 -to VGA_B[2] set_location_assignment PIN_120 -to VGA_B[1] set_location_assignment PIN_115 -to VGA_B[0] set_location_assignment PIN_114 -to VGA_G[5] set_location_assignment PIN_113 -to VGA_G[4] set_location_assignment PIN_112 -to VGA_G[3] set_location_assignment PIN_111 -to VGA_G[2] set_location_assignment PIN_110 -to VGA_G[1] set_location_assignment PIN_106 -to VGA_G[0] set_location_assignment PIN_136 -to VGA_VS set_location_assignment PIN_119 -to VGA_HS set_location_assignment PIN_65 -to AUDIO_L set_location_assignment PIN_80 -to AUDIO_R set_location_assignment PIN_46 -to UART_TX set_location_assignment PIN_31 -to UART_RX set_location_assignment PIN_105 -to SPI_DO set_location_assignment PIN_88 -to SPI_DI set_location_assignment PIN_126 -to SPI_SCK set_location_assignment PIN_127 -to SPI_SS2 set_location_assignment PIN_91 -to SPI_SS3 set_location_assignment PIN_90 -to SPI_SS4 set_location_assignment PIN_13 -to CONF_DATA0 set_location_assignment PIN_49 -to SDRAM_A[0] set_location_assignment PIN_44 -to SDRAM_A[1] set_location_assignment PIN_42 -to SDRAM_A[2] set_location_assignment PIN_39 -to SDRAM_A[3] set_location_assignment PIN_4 -to SDRAM_A[4] set_location_assignment PIN_6 -to SDRAM_A[5] set_location_assignment PIN_8 -to SDRAM_A[6] set_location_assignment PIN_10 -to SDRAM_A[7] set_location_assignment PIN_11 -to SDRAM_A[8] set_location_assignment PIN_28 -to SDRAM_A[9] set_location_assignment PIN_50 -to SDRAM_A[10] set_location_assignment PIN_30 -to SDRAM_A[11] set_location_assignment PIN_32 -to SDRAM_A[12] set_location_assignment PIN_83 -to SDRAM_DQ[0] set_location_assignment PIN_79 -to SDRAM_DQ[1] set_location_assignment PIN_77 -to SDRAM_DQ[2] set_location_assignment PIN_76 -to SDRAM_DQ[3] set_location_assignment PIN_72 -to SDRAM_DQ[4] set_location_assignment PIN_71 -to SDRAM_DQ[5] set_location_assignment PIN_69 -to SDRAM_DQ[6] set_location_assignment PIN_68 -to SDRAM_DQ[7] set_location_assignment PIN_86 -to SDRAM_DQ[8] set_location_assignment PIN_87 -to SDRAM_DQ[9] set_location_assignment PIN_98 -to SDRAM_DQ[10] set_location_assignment PIN_99 -to SDRAM_DQ[11] set_location_assignment PIN_100 -to SDRAM_DQ[12] set_location_assignment PIN_101 -to SDRAM_DQ[13] set_location_assignment PIN_103 -to SDRAM_DQ[14] set_location_assignment PIN_104 -to SDRAM_DQ[15] set_location_assignment PIN_58 -to SDRAM_BA[0] set_location_assignment PIN_51 -to SDRAM_BA[1] set_location_assignment PIN_85 -to SDRAM_DQMH set_location_assignment PIN_67 -to SDRAM_DQML set_location_assignment PIN_60 -to SDRAM_nRAS set_location_assignment PIN_64 -to SDRAM_nCAS set_location_assignment PIN_66 -to SDRAM_nWE set_location_assignment PIN_59 -to SDRAM_nCS set_location_assignment PIN_33 -to SDRAM_CKE set_location_assignment PIN_43 -to SDRAM_CLK set_global_assignment -name CYCLONEII_OPTIMIZATION_TECHNIQUE BALANCED set_global_assignment -name SMART_RECOMPILE ON set_global_assignment -name ENABLE_SIGNALTAP OFF set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION ON set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT NORMAL set_global_assignment -name FMAX_REQUIREMENT "114 MHz" set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING ON set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 set_global_assignment -name USE_CONFIGURATION_DEVICE ON set_global_assignment -name TPD_REQUIREMENT "2 ns" set_global_assignment -name TSU_REQUIREMENT "2 ns" set_global_assignment -name TCO_REQUIREMENT "2 ns" set_global_assignment -name ALLOW_POWER_UP_DONT_CARE OFF set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS ON set_global_assignment -name AUTO_RAM_TO_LCELL_CONVERSION OFF set_global_assignment -name AUTO_RAM_RECOGNITION ON set_global_assignment -name AUTO_ROM_RECOGNITION ON set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region" set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region" set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top set_global_assignment -name PARTITION_COLOR 2147039 -section_id Top set_global_assignment -name MISC_FILE atari800core.dpf set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top set_global_assignment -name PHYSICAL_SYNTHESIS_ASYNCHRONOUS_SIGNAL_PIPELINING ON set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC_FOR_AREA OFF set_global_assignment -name PHYSICAL_SYNTHESIS_MAP_LOGIC_TO_MEMORY_FOR_AREA OFF set_global_assignment -name PROJECT_OUTPUT_DIRECTORY out #set_parameter -name ENABLE_RUNTIME_MOD YES -to "Minimig1:minimig|amiga_boot:BOOTROM1|altsyncram:Ram0_rtl_10" #set_parameter -name INSTANCE_NAME mig -to "Minimig1:minimig|amiga_boot:BOOTROM1|altsyncram:Ram0_rtl_10" set_global_assignment -name CRC_ERROR_OPEN_DRAIN OFF set_global_assignment -name RESERVE_DATA0_AFTER_CONFIGURATION "USE AS REGULAR IO" set_global_assignment -name RESERVE_DATA1_AFTER_CONFIGURATION "USE AS REGULAR IO" set_global_assignment -name RESERVE_FLASH_NCE_AFTER_CONFIGURATION "USE AS REGULAR IO" set_global_assignment -name RESERVE_DCLK_AFTER_CONFIGURATION "USE AS REGULAR IO" set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -rise set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -fall set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -rise set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -fall set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_DQ[0] set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_DQ[1] set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_DQ[2] set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_DQ[3] set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_DQ[4] set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_DQ[5] set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_DQ[6] set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_DQ[7] set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_DQ[8] set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_DQ[9] set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_DQ[10] set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_DQ[11] set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_DQ[12] set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_DQ[13] set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_DQ[14] set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_DQ[15] set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_A[0] set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_A[1] set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_A[2] set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_A[3] set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_A[4] set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_A[5] set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_A[6] set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_A[7] set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_A[8] set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_A[9] set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_A[10] set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_A[11] set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_A[12] set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_BA[0] set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_BA[1] set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_DQMH set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_DQML set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_nRAS set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_nCAS set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_nWE set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_nCS set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to SDRAM_DQ[0] set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to SDRAM_DQ[1] set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to SDRAM_DQ[2] set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to SDRAM_DQ[3] set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to SDRAM_DQ[4] set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to SDRAM_DQ[5] set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to SDRAM_DQ[6] set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to SDRAM_DQ[7] set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to SDRAM_DQ[8] set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to SDRAM_DQ[9] set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to SDRAM_DQ[10] set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to SDRAM_DQ[11] set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to SDRAM_DQ[12] set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to SDRAM_DQ[13] set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to SDRAM_DQ[14] set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to SDRAM_DQ[15] set_instance_assignment -name FAST_INPUT_REGISTER ON -to SDRAM_DQ[0] set_instance_assignment -name FAST_INPUT_REGISTER ON -to SDRAM_DQ[1] set_instance_assignment -name FAST_INPUT_REGISTER ON -to SDRAM_DQ[2] set_instance_assignment -name FAST_INPUT_REGISTER ON -to SDRAM_DQ[3] set_instance_assignment -name FAST_INPUT_REGISTER ON -to SDRAM_DQ[4] set_instance_assignment -name FAST_INPUT_REGISTER ON -to SDRAM_DQ[5] set_instance_assignment -name FAST_INPUT_REGISTER ON -to SDRAM_DQ[6] set_instance_assignment -name FAST_INPUT_REGISTER ON -to SDRAM_DQ[7] set_instance_assignment -name FAST_INPUT_REGISTER ON -to SDRAM_DQ[8] set_instance_assignment -name FAST_INPUT_REGISTER ON -to SDRAM_DQ[9] set_instance_assignment -name FAST_INPUT_REGISTER ON -to SDRAM_DQ[10] set_instance_assignment -name FAST_INPUT_REGISTER ON -to SDRAM_DQ[11] set_instance_assignment -name FAST_INPUT_REGISTER ON -to SDRAM_DQ[12] set_instance_assignment -name FAST_INPUT_REGISTER ON -to SDRAM_DQ[13] set_instance_assignment -name FAST_INPUT_REGISTER ON -to SDRAM_DQ[14] set_instance_assignment -name FAST_INPUT_REGISTER ON -to SDRAM_DQ[15] set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to SDRAM_A[0] set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to SDRAM_A[1] set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to SDRAM_A[2] set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to SDRAM_A[3] set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to SDRAM_A[4] set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to SDRAM_A[5] set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to SDRAM_A[6] set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to SDRAM_A[7] set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to SDRAM_A[8] set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to SDRAM_A[9] set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to SDRAM_A[10] set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to SDRAM_A[11] set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to SDRAM_A[12] set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to SDRAM_DQ[0] set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to SDRAM_DQ[1] set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to SDRAM_DQ[2] set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to SDRAM_DQ[3] set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to SDRAM_DQ[4] set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to SDRAM_DQ[5] set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to SDRAM_DQ[6] set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to SDRAM_DQ[7] set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to SDRAM_DQ[8] set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to SDRAM_DQ[9] set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to SDRAM_DQ[10] set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to SDRAM_DQ[11] set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to SDRAM_DQ[12] set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to SDRAM_DQ[13] set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to SDRAM_DQ[14] set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to SDRAM_DQ[15] set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to SDRAM_BA[0] set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to SDRAM_BA[1] set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to SDRAM_DQML set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to SDRAM_DQMH set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to SDRAM_nRAS set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to SDRAM_nCAS set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to SDRAM_nWE set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to SDRAM_nCS set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to SDRAM_CKE set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to SDRAM_CLK set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to VGA_R[5] set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to VGA_R[4] set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to VGA_R[3] set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to VGA_R[2] set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to VGA_R[1] set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to VGA_R[0] set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to VGA_G[5] set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to VGA_G[4] set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to VGA_G[3] set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to VGA_G[2] set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to VGA_G[1] set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to VGA_G[0] set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to VGA_B[5] set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to VGA_B[4] set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to VGA_B[3] set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to VGA_B[2] set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to VGA_B[1] set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to VGA_B[0] set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top set_global_assignment -name VHDL_FILE atari800core_mist.vhd set_global_assignment -name SDC_FILE atari800core.sdc set_global_assignment -name VERILOG_FILE user_io.v set_global_assignment -name VERILOG_FILE data_io.v set_global_assignment -name VERILOG_FILE osd.v set_global_assignment -name QIP_FILE zpu_rom.qip set_global_assignment -name VHDL_FILE DualPortRAM.vhd set_global_assignment -name VHDL_FILE switch_pal_ntsc.vhd set_global_assignment -name QIP_FILE pll_pal.qip set_global_assignment -name QIP_FILE pll_downstream_pal.qip set_global_assignment -name QIP_FILE video_pll_reconfig.qip set_global_assignment -name QIP_FILE clkctrl.qip set_global_assignment -name QIP_FILE pll_reconfig.qip set_global_assignment -name QIP_FILE pal_rom.qip set_global_assignment -name QIP_FILE ntsc_rom.qip