-- megafunction wizard: %PLL Intel FPGA IP v18.0% -- GENERATION: XML -- pll_hdmi2.vhd -- Generated using ACDS version 18.0 614 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity pll_hdmi2 is port ( refclk : in std_logic := '0'; -- refclk.clk rst : in std_logic := '0'; -- reset.reset outclk_0 : out std_logic; -- outclk0.clk outclk_1 : out std_logic; -- outclk1.clk locked : out std_logic -- locked.export ); end entity pll_hdmi2; architecture rtl of pll_hdmi2 is component pll_hdmi2_0002 is port ( refclk : in std_logic := 'X'; -- clk rst : in std_logic := 'X'; -- reset outclk_0 : out std_logic; -- clk outclk_1 : out std_logic; -- clk locked : out std_logic -- export ); end component pll_hdmi2_0002; begin pll_hdmi2_inst : component pll_hdmi2_0002 port map ( refclk => refclk, -- refclk.clk rst => rst, -- reset.reset outclk_0 => outclk_0, -- outclk0.clk outclk_1 => outclk_1, -- outclk1.clk locked => locked -- locked.export ); end architecture rtl; -- of pll_hdmi2 -- Retrieval info: -- -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- IPFS_FILES : pll_hdmi2.vho -- RELATED_FILES: pll_hdmi2.vhd, pll_hdmi2_0002.v