-- megafunction wizard: %Altera PLL v16.1% -- GENERATION: XML -- pll_gclk.vhd -- Generated using ACDS version 16.1 196 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity pll_gclk is port ( refclk : in std_logic := '0'; -- refclk.clk rst : in std_logic := '0'; -- reset.reset outclk_0 : out std_logic -- outclk0.clk ); end entity pll_gclk; architecture rtl of pll_gclk is component pll_gclk_0002 is port ( refclk : in std_logic := 'X'; -- clk rst : in std_logic := 'X'; -- reset outclk_0 : out std_logic; -- clk locked : out std_logic -- export ); end component pll_gclk_0002; begin pll_gclk_inst : component pll_gclk_0002 port map ( refclk => refclk, -- refclk.clk rst => rst, -- reset.reset outclk_0 => outclk_0, -- outclk0.clk locked => open -- (terminated) ); end architecture rtl; -- of pll_gclk -- Retrieval info: -- -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- IPFS_FILES : pll_gclk.vho -- RELATED_FILES: pll_gclk.vhd, pll_gclk_0002.v