ddioclkctrl u0 ( .inclk3x (), // altclkctrl_input.inclk3x .inclk2x (), // .inclk2x .inclk1x (), // .inclk1x .inclk0x (), // .inclk0x .clkselect (), // .clkselect .ena (), // .ena .outclk () // altclkctrl_output.outclk );