set_global_assignment -name IP_TOOL_NAME "ALTDDIO_OUT" set_global_assignment -name IP_TOOL_VERSION "16.1" set_global_assignment -name IP_GENERATED_DEVICE_FAMILY "{Cyclone V}" set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "altddio_out1.vhd"] set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "altddio_out1.ppf"]