set_global_assignment -name IP_TOOL_NAME "ALTPLL" set_global_assignment -name IP_TOOL_VERSION "13.0" set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "pll_pre.vhd"] set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "pll_pre.cmp"] set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "pll_pre.ppf"]