component int_osc is port ( oscena : in std_logic := 'X'; -- oscena clkout : out std_logic -- clk ); end component int_osc; u0 : component int_osc port map ( oscena => CONNECTED_TO_oscena, -- oscena.oscena clkout => CONNECTED_TO_clkout -- clkout.clk );